亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? it51_alu.vhd

?? 這是最新版本修正過後的8051,經過debug並有實現在某家公司的silicon上ㄛ
?? VHD
?? 第 1 頁 / 共 3 頁
字號:
---------------------------------------------------------------------------------   IT51 (Improved-T51)                                                     ----                                                                           ----   VERSION: 030723                                                         ----                                                                           ----   Contact: yfchen58@gmail.com                                             ----                                                                           -----------------------------------------------------------------------------------                                                                           ----  IT51 - Improved T51 (VHDL 1-Cycle 8051 Compatible Microcontroller)       ----  Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org)             ----                          Yung-Fu Chen (yfchen58@ms49.hinet.net)           ----                                                                           -----------------------------------------------------------------------------------  FETURE                                                                   ----     . IT51_top interface is similar to synopsys DW8051                    ----     . High-Performance 1-Cycle 8051                                       ----     . instruction compatible with standard DW8051                         ----     . 256 byte internal data memory                                       ----     . up to 64KB external data memory                                     ----     . up to 64KB internal program memory                                  ----     . export sfr-bus                                                      ----     . no dual-port memory used                                            ----     . no watch-dog timer                                                  ----     . dual DPTR (DPTR0, DPTR1), refer to DW8051                           ----     . sleep mode support, refer to DW8051                                 ----     . no stop mode                                                        ----     . six external interrupt, refer to DW8051                             ----     . pass all DW8051 test-pattern                                        ----     . UART/Timer are not fully tested yet                                 ----     . no internal tri-state bus                                           ----     . 2-Cycle MUL Instruction                                             ----                                                                           -----------------------------------------------------------------------------------                                                                           ----  IT51_top (Interface Compatible with Synopsys DW8051)                     ----     |                                                                     ----     +-- IT51_core (Control Unit)                                          ----     |       |                                                             ----     |       +-- IT51_ALU (ALU)                                            ----     |               |                                                     ----     |               +-- IT51_MD (MUL/DIV)                                 ----     |                                                                     ----     +-- IT51_Glue (Glue Logic)                                            ----     |                                                                     ----     +-- IT51_TC01 (Timer/Counter-1)                                       ----     |                                                                     ----     +-- IT51_TC2 (Timer/Counter-2)                                        ----     |                                                                     ----     +-- IT51_UART (UART)                                                  ----                                                                           ----------------------------------------------------------------------------------- ============================================================================-- The original T51 license is listed below:-- ============================================================================---- 8051 compatible microcontroller core---- Version : 0218---- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org)---- All rights reserved---- Redistribution and use in source and synthezised forms, with or without-- modification, are permitted provided that the following conditions are met:---- Redistributions of source code must retain the above copyright notice,-- this list of conditions and the following disclaimer.---- Redistributions in synthesized form must reproduce the above copyright-- notice, this list of conditions and the following disclaimer in the-- documentation and/or other materials provided with the distribution.---- Neither the name of the author nor the names of other contributors may-- be used to endorse or promote products derived from this software without-- specific prior written permission.---- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE-- POSSIBILITY OF SUCH DAMAGE.---- Please report bugs to the author, but before you do so, please-- make sure that this is not a derivative work and that-- you have the latest version of this file.---- The latest version of this file can be found at:--	http://www.opencores.org/cvsweb.shtml/t51/---- Limitations :---- File history :---- ============================================================================library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;library work;use work.IT51_Pack.all;entity IT51_ALU is	port(		Clk			: in std_logic;		Rst_n       : in std_logic;		Last		: in std_logic;		PCPause     : in boolean;		OpCode		: in std_logic_vector(7 downto 0);		ACC			: in std_logic_vector(7 downto 0);		B			: in std_logic_vector(7 downto 0);		IA			: in std_logic_vector(7 downto 0);		IB			: in std_logic_vector(7 downto 0);		Bit_Pattern	: in std_logic_vector(7 downto 0);		CY_In		: in std_logic;		AC_In		: in std_logic;		ACC_Q		: out std_logic_vector(7 downto 0);		B_Q			: out std_logic_vector(7 downto 0);		IDCPBL_Q	: out std_logic_vector(7 downto 0);		Div_Rdy		: out std_logic;		CJNE		: out std_logic;		DJNZ		: out std_logic;		CY_Out		: out std_logic;		AC_Out		: out std_logic;		OV_Out		: out std_logic;		CY_Wr		: out std_logic;		AC_Wr		: out std_logic;		OV_Wr		: out std_logic	);end IT51_ALU;architecture rtl of IT51_ALU is	signal	Do_A_Imm	: std_logic;	signal	Do_A_Carry	: std_logic;	signal	Do_A_RR		: std_logic;	signal	Do_A_INC	: std_logic;	signal	Do_A_RRC	: std_logic;	signal	Do_A_DEC	: std_logic;	signal	Do_A_RL		: std_logic;	signal	Do_A_ADD	: std_logic;	signal	Do_A_RLC	: std_logic;	signal	Do_A_ORL	: std_logic;	signal	Do_A_ANL	: std_logic;	signal	Do_A_XRL	: std_logic;	signal	Do_A_MOV	: std_logic;	signal	Do_A_DIV	: std_logic;	signal	Do_A_SUBB	: std_logic;	signal	Do_A_MUL	: std_logic;	signal	Do_A_CJNE 	: std_logic;	signal	Do_A_SWAP	: std_logic;	signal	Do_A_XCH	: std_logic;	signal	Do_A_DA		: std_logic;	signal	Do_A_XCHD	: std_logic;	signal	Do_A_CLR	: std_logic;	signal	Do_A_CPL	: std_logic;	-- Accumulator ALU input mux	signal	AOP2		: std_logic_vector(7 downto 0);	-- AD intermediate signal	signal	ADA			: std_logic_vector(8 downto 0);	-- AddSub intermediate signals	signal	AS_Carry7	: std_logic;	signal	AS_AC		: std_logic;	signal	AS_CY		: std_logic;	signal	AS_Q		: std_logic_vector(7 downto 0);	signal	Do_I_Imm	: std_logic;	signal	Do_I_INC	: std_logic;	signal	Do_I_DEC	: std_logic;	signal	Do_I_ORL	: std_logic;	signal	Do_I_ANL	: std_logic;	signal	Do_I_XRL	: std_logic;	signal	Do_I_MOV	: std_logic;	signal	Do_I_MOVD	: std_logic;	signal	Do_I_CJNE	: std_logic;	-- Auxiliary ALU input mux	signal	IOP			: std_logic_vector(7 downto 0);	-- Auxiliary ALU delayed input	signal	IA_d		: std_logic_vector(7 downto 0);	-- AddSub intermediate signals	signal	CJNE_CY_n	: std_logic;	signal	CJNE_Q		: std_logic_vector(7 downto 0);	-- MOV intermediate signals	signal	MOV_Op		: std_logic_vector(3 downto 0);	signal	MOV_Q		: std_logic_vector(7 downto 0);	signal	Do_B_Inv	: std_logic;	signal	Do_B_C_BA	: std_logic;	signal	Do_B_C_Dir	: std_logic;	signal	Do_B_BA_Dir	: std_logic;	signal	Do_B_MOV	: std_logic;	signal	Do_B_JBC	: std_logic;	signal	Do_B_Op		: std_logic_vector(1 downto 0);	-- Bit intermediate signals	signal	Bit_Op1		: std_logic_vector(7 downto 0);	signal	Bit_Op2		: std_logic_vector(7 downto 0);	signal	Bit_IsOne	: std_logic;	signal	Bit_Result	: std_logic_vector(7 downto 0);	signal	Last_r		: std_logic;	-- MulDiv intermediate signals	signal	Mul_Q		: std_logic_vector(15 downto 0);	signal	Mul_OV		: std_logic;	signal	Div_Q		: std_logic_vector(15 downto 0);	signal	Div_OV		: std_logic;	--	signal  ACC_Q_0     : std_logic_vector(7 downto 0);	signal  ACC_Q_1     : std_logic_vector(7 downto 0);	signal  ACC_Q_2     : std_logic_vector(7 downto 0);	signal  ACC_Q_3     : std_logic_vector(7 downto 0);	signal  ACC_Q_4     : std_logic_vector(7 downto 0);	signal  ACC_Q_5     : std_logic_vector(7 downto 0);	signal  ACC_Q_6     : std_logic_vector(7 downto 0);	signal  ACC_Q_7     : std_logic_vector(7 downto 0);	signal  ACC_Q_8     : std_logic_vector(7 downto 0);	signal  ACC_Q_9     : std_logic_vector(7 downto 0);	signal  ACC_Q_10    : std_logic_vector(7 downto 0);	signal  ACC_Q_11    : std_logic_vector(7 downto 0);	signal  ACC_Q_12    : std_logic_vector(7 downto 0);	signal  ACC_Q_13    : std_logic_vector(7 downto 0);    signal  ACC_Q_14    : std_logic_vector(7 downto 0);    signal  ACC_Q_15    : std_logic_vector(7 downto 0);    signal  ACC_Q_16    : std_logic_vector(7 downto 0);    signal  ACC_Q_17    : std_logic_vector(7 downto 0);    signal  ACC_Q_18    : std_logic_vector(7 downto 0);    signal  ACC_Q_19    : std_logic_vector(7 downto 0);begin	-- Simplify some of the conditions, not all must be exclusive !!!!	process (Clk, Rst_n)	begin	    if Rst_n = '0' then	        Do_A_Imm    <= '0';			Do_A_Carry  <= '0';			Do_A_RR     <= '0';			Do_A_INC    <= '0';			Do_A_RRC    <= '0';			Do_A_DEC    <= '0';			Do_A_RL     <= '0';			Do_A_ADD    <= '0';			Do_A_RLC    <= '0';			Do_A_ORL    <= '0';			Do_A_ANL    <= '0';			Do_A_XRL    <= '0';			Do_A_MOV    <= '0';			Do_A_DIV    <= '0';			Do_A_SUBB   <= '0';			Do_A_MUL    <= '0';			Do_A_CJNE   <= '0';			Do_A_SWAP   <= '0';			Do_A_XCH    <= '0';			Do_A_DA     <= '0';			Do_A_XCHD   <= '0';			Do_A_CLR    <= '0';			Do_A_CPL    <= '0';			Do_A_Imm    <= '0';			Do_I_Imm    <= '0';			Do_I_INC    <= '0';			Do_I_DEC    <= '0';			Do_I_ORL    <= '0';			Do_I_ANL    <= '0';			Do_I_XRL    <= '0';			Do_I_MOV    <= '0';			Do_I_MOVD   <= '0';			Do_I_CJNE   <= '0';			IA_d        <= (others => '0');			MOV_Op      <= (others => '0');			Do_B_Inv    <= '0';			Do_B_C_BA   <= '0';			Do_B_C_Dir  <= '0';			Do_B_BA_Dir <= '0';			Do_B_MOV    <= '0';			Do_B_JBC    <= '0';			Do_B_Op     <= (others => '0');			Last_r      <= '0';		elsif Clk'event and Clk = '1' then

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
精品sm捆绑视频| 91精品国产综合久久久久久漫画| 国产精品久久免费看| 色综合久久88色综合天天| 亚洲成人一二三| 国产午夜三级一区二区三| 91久久精品午夜一区二区| 日韩精品国产精品| 亚洲蜜桃精久久久久久久| 国产午夜精品一区二区三区视频| 337p亚洲精品色噜噜狠狠| av成人动漫在线观看| 久久丁香综合五月国产三级网站 | 蜜臀精品一区二区三区在线观看 | 制服丝袜亚洲色图| 在线亚洲一区观看| 91香蕉视频mp4| av欧美精品.com| 成人久久久精品乱码一区二区三区| 日韩精品91亚洲二区在线观看| 亚洲欧洲三级电影| 国产精品久久久久久亚洲毛片| 欧美一级片在线看| 日韩午夜在线观看视频| 日韩精品一区二区三区中文精品| 欧美成人综合网站| 欧美va在线播放| 精品国产乱码久久久久久1区2区| 91精品中文字幕一区二区三区| 欧美三级资源在线| 日韩西西人体444www| 精品国产1区二区| 久久久电影一区二区三区| 国产精品三级视频| 亚洲一区中文在线| 爽爽淫人综合网网站| 蜜桃视频一区二区三区| 风间由美一区二区av101| 成人国产精品免费观看动漫| 91免费观看视频在线| 欧美一区二区三区婷婷月色| 国产午夜一区二区三区| 国产精品超碰97尤物18| 偷拍亚洲欧洲综合| 国产激情视频一区二区三区欧美 | 欧美裸体bbwbbwbbw| 26uuu色噜噜精品一区二区| 国产精品妹子av| 日本怡春院一区二区| www.亚洲免费av| www欧美成人18+| 日韩av不卡一区二区| 91亚洲国产成人精品一区二区三| 精品久久久久久久人人人人传媒| 国产精品久久久久久久久久免费看 | 久久精品国产亚洲高清剧情介绍| 成人精品在线视频观看| 日韩欧美一二三区| 五月天婷婷综合| 色婷婷综合久久久久中文| 欧美国产97人人爽人人喊| 美女视频免费一区| 欧美一级二级三级蜜桃| 日日夜夜精品视频天天综合网| 欧洲精品视频在线观看| 亚洲午夜精品一区二区三区他趣| 色综合视频一区二区三区高清| 国产精品色眯眯| 91成人免费电影| 男男成人高潮片免费网站| 日韩午夜精品视频| 精品在线播放午夜| 欧美激情综合网| 成人污视频在线观看| 亚洲欧美国产三级| 欧美性做爰猛烈叫床潮| 男人的天堂亚洲一区| 久久久久久麻豆| 色婷婷综合久久久中文字幕| 日韩av电影免费观看高清完整版 | 91亚洲大成网污www| 亚洲成a人片在线观看中文| 26uuu另类欧美亚洲曰本| 91免费版在线看| 激情六月婷婷久久| 亚洲美女屁股眼交3| 成人免费小视频| 久久综合狠狠综合久久激情| 色婷婷av一区二区三区gif| 蜜臀国产一区二区三区在线播放| 亚洲视频狠狠干| 精品久久久久一区二区国产| 欧美日韩一区二区三区四区 | 国产精品情趣视频| 精品国产乱子伦一区| 日本精品一级二级| 成人免费视频播放| 麻豆成人av在线| 日本女优在线视频一区二区| 伊人一区二区三区| 亚洲视频 欧洲视频| 中文字幕av一区 二区| **性色生活片久久毛片| 欧美videos大乳护士334| 欧美一区二区在线免费播放| 欧美在线播放高清精品| 欧洲一区在线观看| 欧美日韩亚洲国产综合| 欧美高清精品3d| 欧美高清精品3d| 欧美精品丝袜中出| 91精品国产麻豆| 精品粉嫩超白一线天av| 国产午夜精品久久| 国产精品水嫩水嫩| 亚洲一区二区精品3399| 亚洲国产精品麻豆| 裸体健美xxxx欧美裸体表演| 免费在线观看一区| 欧美性大战久久久久久久| 欧美日本一区二区三区四区| 欧美不卡一区二区三区| 欧美国产一区在线| 三级精品在线观看| 成人黄色网址在线观看| 欧美人xxxx| 国产精品午夜在线| 免费观看在线综合色| 成人av网站在线观看| 欧美精品久久天天躁| 国产精品少妇自拍| 日本最新不卡在线| 91丨九色porny丨蝌蚪| 久久久亚洲精品石原莉奈| 夜夜爽夜夜爽精品视频| 成人福利电影精品一区二区在线观看| 欧美伊人久久久久久久久影院| 26uuu久久综合| 午夜欧美视频在线观看 | 成人永久看片免费视频天堂| 7777精品伊人久久久大香线蕉的| 国产日产欧产精品推荐色| 麻豆视频一区二区| 91精品国产入口| 日本va欧美va精品| 欧美一区二区网站| 蜜桃一区二区三区四区| 日韩一级成人av| 国产一区二区三区久久久| 欧美mv和日韩mv的网站| 久久99国产精品久久99果冻传媒| 欧美日韩国产高清一区二区三区| 亚洲精品一卡二卡| 欧美精品v国产精品v日韩精品 | 国产在线一区观看| 国产日本欧洲亚洲| 99久久精品99国产精品| 亚洲乱码国产乱码精品精98午夜 | 日韩欧美中文一区| 成人视屏免费看| 亚洲精品国产一区二区精华液| 一本大道综合伊人精品热热| 国产一区二区三区久久久| 国产欧美一区二区精品性| 99精品桃花视频在线观看| 亚洲成人免费av| 久久久久国产精品麻豆| 在线观看网站黄不卡| 精品综合久久久久久8888| 亚洲欧美怡红院| 久久久久久久性| 欧美日韩中文国产| a亚洲天堂av| 国产成人av影院| 日日噜噜夜夜狠狠视频欧美人 | 91福利视频在线| 国产麻豆精品theporn| 视频一区欧美日韩| 亚洲韩国精品一区| 亚洲色图在线看| 国产视频亚洲色图| 日韩一级免费一区| 欧美亚洲高清一区| 色婷婷综合久久久中文一区二区 | 欧美激情综合五月色丁香小说| 91精品国产一区二区| 欧美日韩激情在线| 欧美精品黑人性xxxx| 日本韩国一区二区三区| 91在线视频免费观看| 99精品国产热久久91蜜凸| 成人美女视频在线看| 成人av在线资源| 色综合咪咪久久| 欧美三级在线看| 日韩一区二区视频| 国产网站一区二区| 国产清纯在线一区二区www| 国产女同互慰高潮91漫画| 中文字幕国产一区二区|