亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? it51_top.vhd

?? 這是最新版本修正過後的8051,經過debug並有實現在某家公司的silicon上ㄛ
?? VHD
?? 第 1 頁 / 共 2 頁
字號:
---------------------------------------------------------------------------------   IT51 (Improved-T51)                                                     ----                                                                           ----   VERSION: 030723                                                         ----                                                                           ----   Contact: yfchen58@gmail.com                                             ----                                                                           -----------------------------------------------------------------------------------                                                                           ----  IT51 - Improved T51 (VHDL 1-Cycle 8051 Compatible Microcontroller)       ----  Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org)             ----                          Yung-Fu Chen (yfchen58@ms49.hinet.net)           ----                                                                           -----------------------------------------------------------------------------------  FETURE                                                                   ----     . IT51_top interface is similar to synopsys DW8051                    ----     . High-Performance 1-Cycle 8051                                       ----     . instruction compatible with standard DW8051                         ----     . 256 byte internal data memory                                       ----     . up to 64KB external data memory                                     ----     . up to 64KB internal program memory                                  ----     . export sfr-bus                                                      ----     . no dual-port memory used                                            ----     . no watch-dog timer                                                  ----     . dual DPTR (DPTR0, DPTR1), refer to DW8051                           ----     . sleep mode support, refer to DW8051                                 ----     . no stop mode                                                        ----     . six external interrupt, refer to DW8051                             ----     . pass all DW8051 test-pattern                                        ----     . UART/Timer are not fully tested yet                                 ----     . no internal tri-state bus                                           ----     . 2-Cycle MUL Instruction                                             ----                                                                           -----------------------------------------------------------------------------------                                                                           ----  IT51_top (Interface Compatible with Synopsys DW8051)                     ----     |                                                                     ----     +-- IT51_core (Control Unit)                                          ----     |       |                                                             ----     |       +-- IT51_ALU (ALU)                                            ----     |               |                                                     ----     |               +-- IT51_MD (MUL/DIV)                                 ----     |                                                                     ----     +-- IT51_Glue (Glue Logic)                                            ----     |                                                                     ----     +-- IT51_TC01 (Timer/Counter-1)                                       ----     |                                                                     ----     +-- IT51_TC2 (Timer/Counter-2)                                        ----     |                                                                     ----     +-- IT51_UART (UART)                                                  ----                                                                           ----------------------------------------------------------------------------------- ============================================================================-- The original T51 license is listed below:-- ============================================================================---- 8051 compatible microcontroller core---- Version : 0218---- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org)---- All rights reserved---- Redistribution and use in source and synthezised forms, with or without-- modification, are permitted provided that the following conditions are met:---- Redistributions of source code must retain the above copyright notice,-- this list of conditions and the following disclaimer.---- Redistributions in synthesized form must reproduce the above copyright-- notice, this list of conditions and the following disclaimer in the-- documentation and/or other materials provided with the distribution.---- Neither the name of the author nor the names of other contributors may-- be used to endorse or promote products derived from this software without-- specific prior written permission.---- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE-- POSSIBILITY OF SUCH DAMAGE.---- Please report bugs to the author, but before you do so, please-- make sure that this is not a derivative work and that-- you have the latest version of this file.---- The latest version of this file can be found at:--	http://www.opencores.org/cvsweb.shtml/t51/---- Limitations :---- File history :---- ============================================================================library IEEE;use IEEE.std_logic_1164.all;library work;use work.IT51_Pack.all;entity IT51_top is    port (        -- internal interface:        clk             : in  std_Logic;        por_n           : in  std_logic;        rst_out_n       : out std_logic;        stop_mode_n     : out std_logic;        idle_mode_n     : out std_logic;        sfr_addr        : out std_logic_vector (7 downto 0);        sfr_data_out    : out std_logic_vector (7 downto 0);        sfr_data_in     : in  std_logic_vector (7 downto 0);        sfr_wr          : out std_logic;        sfr_rd          : out std_logic;        int0_n          : in  std_logic;        -- External Interrupt 0        int1_n          : in  std_logic;        -- External Interrupt 1        int2            : in  std_logic;        -- External Interrupt 2        int3_n          : in  std_logic;        -- External Interrupt 3        int4            : in  std_logic;        -- External Interrupt 4        int5_n          : in  std_logic;        -- External Interrupt 5        rxd0_in         : in  std_logic;        -- serial port0 input        rxd0_out        : out std_logic;        -- serial port0 output        txd0            : out std_logic;        -- serial port0 output        rxd1_in         : in  std_logic;        -- serial port1 input        rxd1_out        : out std_logic;        -- serial port1 output        txd1            : out std_logic;        -- serial port1 output        t0              : in  std_logic;        -- Timer 0 external input        t1              : in  std_logic;        -- Timer 1 external input        t2              : in  std_logic;        -- Timer/Counter2 ext.input        t2ex            : in  std_logic;        -- Timer/Counter2 capt./reload        t0_out          : out std_logic;        -- Timer/Counter0 overflow        t1_out          : out std_logic;        -- Timer/Counter1 overflow        t2_out          : out std_logic;        -- Timer/Counter2 overflow        -- external interface:        mem_addr        : out std_logic_vector (15 downto 0);        mem_data_out    : out std_logic_vector (7 downto 0);        mem_data_in     : in  std_logic_vector (7 downto 0);        mem_wr_n        : out std_logic;        mem_rd_n        : out std_logic;        iram_addr       : out std_logic_vector (7 downto 0);        iram_data_out   : in  std_logic_vector (7 downto 0);        iram_data_in    : out std_logic_vector (7 downto 0);        iram_rd_n       : out std_logic;        iram_we1_n      : out std_logic;        iram_we2_n      : out std_logic;        irom_addr       : out std_logic_vector (15 downto 0);        irom_data_out   : in  std_logic_vector (7 downto 0);        irom_rd_n       : out std_logic;        irom_cs_n       : out std_logic       );end IT51_top;architecture rtl of IT51_top is    signal  Idle_n      : std_logic;    signal  Stop_n      : std_logic;    signal  ROM_Addr    : std_logic_vector(15 downto 0);    signal  ROM_Data    : std_logic_vector(7 downto 0);    signal  RAM_Addr    : std_logic_vector(15 downto 0);    signal  RAM_RData   : std_logic_vector(7 downto 0);    signal  RAM_DO      : std_logic_vector(7 downto 0);    signal  RAM_WData   : std_logic_vector(7 downto 0);    signal  RAM_Rd      : std_logic;    signal  RAM_Wr      : std_logic;    signal  RAM_WE_n    : std_logic;    signal  RAM_Sel_n   : std_logic;    signal  Ex_Sel_i    : std_logic;    signal  IO_Rd       : std_logic;    signal  IO_Wr       : std_logic;    signal  IO_Addr     : std_logic_vector(6 downto 0);    signal  IO_Addr_r   : std_logic_vector(6 downto 0);    signal  IO_WData    : std_logic_vector(7 downto 0);    signal  IO_RData    : std_logic_vector(7 downto 0);    signal  P0_Sel      : std_logic;    signal  P1_Sel      : std_logic;    signal  P2_Sel      : std_logic;    signal  P3_Sel      : std_logic;    signal  TMOD_Sel    : std_logic;    signal  TL0_Sel     : std_logic;    signal  TL1_Sel     : std_logic;    signal  TH0_Sel     : std_logic;    signal  TH1_Sel     : std_logic;    signal  T2CON_Sel   : std_logic;    signal  RCAP2L_Sel  : std_logic;    signal  RCAP2H_Sel  : std_logic;    signal  TL2_Sel     : std_logic;    signal  TH2_Sel     : std_logic;    signal  SCON_Sel    : std_logic;    signal  SBUF_Sel    : std_logic;    signal  P0_Wr       : std_logic;    signal  P1_Wr       : std_logic;    signal  P2_Wr       : std_logic;    signal  P3_Wr       : std_logic;    signal  TMOD_Wr     : std_logic;    signal  TL0_Wr      : std_logic;    signal  TL1_Wr      : std_logic;    signal  TH0_Wr      : std_logic;    signal  TH1_Wr      : std_logic;    signal  T2CON_Wr    : std_logic;    signal  RCAP2L_Wr   : std_logic;    signal  RCAP2H_Wr   : std_logic;    signal  TL2_Wr      : std_logic;    signal  TH2_Wr      : std_logic;    signal  SCON_Wr     : std_logic;    signal  SBUF_Wr     : std_logic;    signal  UseR2       : std_logic;    signal  UseT2       : std_logic;    signal  UART_Clk    : std_logic;    signal  R0          : std_logic;    signal  R1          : std_logic;    signal  SMOD        : std_logic;    signal  Int_Trig    : std_logic_vector(10 downto 0);    signal  Int_Acc     : std_logic_vector(10 downto 0);    signal  RI          : std_logic;    signal  TI          : std_logic;    signal  OF0         : std_logic;    signal  OF1         : std_logic;    signal  OF2         : std_logic;-- YFC    signal  RAM_Cycle   : std_logic;    signal  rst_n       : std_logic;    signal  por_n_del1  : std_logic;    signal  por_n_del2  : std_logic;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
a级高清视频欧美日韩| 国产精品灌醉下药二区| 成人免费电影视频| 亚洲超碰97人人做人人爱| 久久久久国产免费免费| 欧美视频自拍偷拍| 高清视频一区二区| 青椒成人免费视频| 亚洲人亚洲人成电影网站色| 精品久久久影院| 欧美精品日韩综合在线| 不卡免费追剧大全电视剧网站| 五月开心婷婷久久| 亚洲视频每日更新| 精品国产乱码久久| 678五月天丁香亚洲综合网| 91蜜桃免费观看视频| 国内不卡的二区三区中文字幕 | 欧美精品自拍偷拍| 99精品久久99久久久久| 国产精品亚洲视频| 免费成人av在线| 亚洲成人www| 亚洲女同ⅹxx女同tv| 中文字幕va一区二区三区| 精品久久国产老人久久综合| 欧美三片在线视频观看| 色丁香久综合在线久综合在线观看 | 国产精品素人一区二区| 日韩午夜中文字幕| 欧美久久一二三四区| 色婷婷综合久久久久中文一区二区 | 欧美日韩精品综合在线| 成人一区在线观看| 国产麻豆精品久久一二三| 另类欧美日韩国产在线| 日韩精品电影一区亚洲| 亚洲成人免费在线观看| 亚洲综合一区二区| 亚洲激情综合网| 亚洲美女视频在线观看| 亚洲视频 欧洲视频| 综合久久久久久| 亚洲天天做日日做天天谢日日欢| 国产精品国产a| 日韩美女精品在线| 亚洲色图制服丝袜| 一区二区三区小说| 亚洲国产一二三| 午夜精品爽啪视频| 日本系列欧美系列| 久久国产生活片100| 国产视频一区在线播放| 日本视频一区二区三区| 一区二区三区在线免费播放| 亚洲另类色综合网站| 亚洲精品乱码久久久久久久久| 亚洲日本在线看| 亚洲精品成人在线| 亚洲午夜免费电影| 日韩av高清在线观看| 蜜臀久久久久久久| 激情伊人五月天久久综合| 国产成人欧美日韩在线电影| 成人激情免费网站| 欧美伊人久久久久久久久影院| 欧美日韩免费观看一区二区三区 | 91国产福利在线| 欧美日韩视频一区二区| 欧美大胆人体bbbb| 国产日韩欧美综合在线| 亚洲欧美另类图片小说| 性感美女久久精品| 久久国产欧美日韩精品| 粉嫩av亚洲一区二区图片| 91蝌蚪porny| 欧美一区二区三区视频在线| 久久无码av三级| 亚洲美女视频一区| 日本亚洲天堂网| 成人h动漫精品| 欧美日高清视频| 欧美激情一区二区三区全黄| 亚洲一区二区三区四区不卡| 麻豆一区二区99久久久久| 日韩一区二区免费在线观看| 国产日韩欧美一区二区三区综合| 亚洲精品日韩一| 久久国产麻豆精品| www.亚洲色图| 欧美肥妇free| 中文字幕一区免费在线观看| 日韩av中文在线观看| www.久久精品| 日韩视频一区二区三区在线播放 | 欧美图区在线视频| 久久精品日产第一区二区三区高清版| 一区二区在线免费观看| 国产传媒一区在线| 欧美丰满嫩嫩电影| 国产精品视频一二| 久久99精品一区二区三区三区| 91网址在线看| 精品国产免费人成在线观看| 一区二区三区电影在线播| 国产激情视频一区二区在线观看| 欧美日韩黄色一区二区| 国产精品久久久久久久岛一牛影视 | 国产精品狼人久久影院观看方式| 一区二区久久久久久| 国产成a人亚洲精品| 91精品国产91热久久久做人人| 亚洲伦理在线精品| 国产aⅴ综合色| 日韩精品中文字幕一区二区三区| 一区二区在线观看视频在线观看| 国产高清不卡二三区| 日韩一级片在线观看| 亚洲午夜精品在线| 色婷婷综合久色| 国产精品国产自产拍高清av王其| 久久99久久99精品免视看婷婷| 欧美精品电影在线播放| 夜夜亚洲天天久久| 一本色道久久综合亚洲精品按摩| 国产欧美日韩麻豆91| 激情六月婷婷综合| 日韩欧美国产精品| 日本不卡一二三| 欧美日韩国产首页| 亚洲成人免费观看| 欧美午夜精品免费| 亚洲伊人伊色伊影伊综合网| 91麻豆国产在线观看| 国产精品欧美久久久久一区二区| 国产剧情一区在线| 国产无一区二区| 国产精品一卡二卡在线观看| 久久亚洲精精品中文字幕早川悠里 | 亚洲资源中文字幕| 欧美成人国产一区二区| 五月激情六月综合| 欧美日韩和欧美的一区二区| 丝袜亚洲另类欧美综合| 欧美精品一卡两卡| 日韩黄色免费电影| 日韩丝袜情趣美女图片| 日本不卡免费在线视频| 欧美一区二区三区系列电影| 蜜桃av一区二区三区| 欧美tickling网站挠脚心| 久久不见久久见免费视频1| 精品国内二区三区| 国产精品18久久久久久久久久久久| 国产亚洲一区字幕| 成人激情av网| 亚洲精品精品亚洲| 欧美日韩不卡一区| 蜜桃久久av一区| 国产欧美精品一区二区色综合朱莉| 国产精品一区二区在线观看不卡 | 欧美亚洲愉拍一区二区| 天天影视涩香欲综合网| 日韩免费视频一区二区| 韩国一区二区视频| 国产精品久久久久天堂| 欧美亚洲国产bt| 日韩精品一二三区| 久久久久久久久久久99999| www.欧美日韩| 亚州成人在线电影| 久久久久97国产精华液好用吗| 国产成人av福利| 一区二区免费视频| 精品欧美一区二区在线观看| 成人黄色电影在线| 午夜精品福利一区二区蜜股av| 日韩美女一区二区三区| 不卡一区二区在线| 午夜精品一区二区三区免费视频 | 在线观看视频欧美| 另类小说色综合网站| 久草在线在线精品观看| 国产精品久久毛片av大全日韩| 欧美性受极品xxxx喷水| 国产一区二区在线看| 亚洲精品乱码久久久久| 精品国产伦一区二区三区观看体验 | 亚洲色图.com| 日韩视频一区二区三区 | 欧美性大战久久久| 国产美女精品在线| 亚洲国产一区视频| 日本一区二区成人| 在线电影欧美成精品| 成人激情小说网站| 捆绑调教美女网站视频一区| 亚洲乱码国产乱码精品精可以看| 精品日韩一区二区| 欧美日韩视频在线第一区|