?? divfre.vhd
字號:
library ieee;
use ieee.std_logic_1164.all;
entity divfre is
generic(rate: integer range 0 to 255 :=10);
port(fin:in std_logic;
fout:out std_logic);
end divfre;
architecture behav of divfre is
signal cnt:integer range 0 to 255 :=0;
begin
p1:process(fin)
begin
if fin'event and fin='1' then
if cnt = rate then
cnt <= 1;
else
cnt <= cnt + 1;
end if;
end if;
end process;
fout <= '1' when cnt = rate else '0';
end behav;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -