亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? 偽隨機數產生器.txt

?? 內有波形發生器
?? TXT
字號:
-----------------------------------------------------------------------------
--
--      The following information has been generated by Exemplar Logic and
--      may be freely distributed and modified.
--
--      Design name : pseudorandom
--
--      Purpose : This design is a pseudorandom number generator. This design 
--        will generate an 8-bit random number using the polynomial p(x) = x + 1.
--        This system has a seed generator and will generate 2**8 - 1 unique
--        vectors in pseudorandom order. These vectors are stored in a ram which
--        samples the random number every 32 clock cycles. This variance of a 
--        priority encoded seed plus a fixed sampling frequency provides a truely
--        random number.
--
--        This design used VHDL-1993 methods for coding VHDL.
--
----------------------------------------------------------------------------

Library IEEE ;
use IEEE.std_logic_1164.all ;
use IEEE.std_logic_arith.all ;

entity divide_by_n is
   generic (data_width    : natural := 8 );
   port (
         data_in  : in  UNSIGNED(data_width - 1 downto 0) ;
         load     : in  std_logic ;
         clk      : in  std_logic ;
         reset    : in  std_logic ;
         divide   : out std_logic
        );
end divide_by_n ;

architecture rtl of divide_by_n is  
  signal count_reg : UNSIGNED(data_width - 1 downto 0) ;
  constant max_count : UNSIGNED(data_width - 1 downto 0) := (others => '1') ;
  begin
  cont_it :  process(clk,reset)
       begin
          if (reset = '1') then
           count_reg <= (others => '0') ;
          elsif (clk = '1' and clk'event) then
            if (load = '1') then
               count_reg <= data_in ;
            else
                count_reg <=  count_reg + "01" ;
            end if ;
          end if;
        end process ;
   divide <= '1' when count_reg = max_count else '0' ;
end RTL ;

Library IEEE ;
use IEEE.std_logic_1164.all ;
use IEEE.std_logic_arith.all ;

entity dlatrg is
   generic (data_width    : natural := 16 );
   port (
         data_in  : in  UNSIGNED(data_width - 1 downto 0) ;
         clk      : in  std_logic ;
         reset    : in  std_logic ;
         data_out : out UNSIGNED(data_width - 1 downto 0)
        );
end dlatrg ;

architecture rtl of dlatrg is
  begin
  latch_it : process(data_in,clk,reset)
        begin
          if (reset = '1') then
            data_out <= (others => '0') ;
          elsif (clk = '1') then
            data_out <= data_in ;
          end if;
        end process ;
end RTL ;

Library IEEE ;
use IEEE.std_logic_1164.all ;
use IEEE.std_logic_arith.all ;

entity lfsr is
   generic (data_width    : natural := 8 );
   port (
         clk      : in  std_logic ;
         reset    : in  std_logic ;
         data_out : out UNSIGNED(data_width - 1 downto 0)
        );
end lfsr ;

architecture rtl of lfsr is  
  signal feedback : std_logic ;
  signal lfsr_reg : UNSIGNED(data_width - 1 downto 0) ;
  begin
    feedback <= lfsr_reg(7) xor lfsr_reg(0) ;
  latch_it :  process(clk,reset)
       begin
          if (reset = '1') then
           lfsr_reg <= (others => '0') ;
          elsif (clk = '1' and clk'event) then
            lfsr_reg <= lfsr_reg(lfsr_reg'high - 1 downto 0) & feedback ;
          end if;
        end process ;
   data_out <= lfsr_reg ;
end RTL ;

Library IEEE ;
use IEEE.std_logic_1164.all ;
use IEEE.std_logic_arith.all ;

entity priority_encoder is
   generic (data_width    : natural := 25 ;
            address_width : natural := 5 ) ;
   port (
         data    : in  UNSIGNED(data_width - 1 downto 0) ;
         address : out UNSIGNED(address_width - 1 downto 0) ;
         none    : out STD_LOGIC
        );
end priority_encoder ;

architecture rtl of priority_encoder is
  attribute SYNTHESIS_RETURN : STRING ;
  
  FUNCTION to_stdlogic (arg1:BOOLEAN)  RETURN STD_LOGIC IS
      BEGIN
      IF(arg1) THEN
        RETURN('1') ;
      ELSE
        RETURN('0') ;
      END IF ;
  END ;

    function to_UNSIGNED(ARG: INTEGER; SIZE: INTEGER) return UNSIGNED is
	variable result: UNSIGNED(SIZE-1 downto 0);
	variable temp: integer;
        attribute SYNTHESIS_RETURN of result:variable is "FEED_THROUGH" ;
    begin
	temp := ARG;
	for i in 0 to SIZE-1 loop
	    if (temp mod 2) = 1 then
		result(i) := '1';
	    else 
		result(i) := '0';
	    end if;
	    if temp > 0 then
		temp := temp / 2;
	    else
		temp := (temp - 1) / 2; 
	    end if;
	end loop;
	return result;
    end;

  constant zero : UNSIGNED(data_width downto 1) := (others => '0') ;
  begin
PRIO :  process(data)
         variable temp_address : UNSIGNED(address_width - 1 downto 0) ;
         begin
          temp_address := (others => '0') ;
          for i in data_width - 1 downto 0 loop
            if (data(i) = '1') then
              temp_address := to_unsigned(i,address_width) ;
              exit ;
            end if ;
          end loop ;
          address <= temp_address ;
          none <= to_stdlogic(data = zero) ;
        end process ;
end RTL ;

Library IEEE ;
use IEEE.std_logic_1164.all ;
use IEEE.std_logic_arith.all ;
use IEEE.std_logic_unsigned.all ;

entity ram is
   generic (data_width    : natural := 8 ;
            address_width  : natural := 8);
   port (
         data_in  : in  UNSIGNED(data_width - 1 downto 0) ;
         address  : in  UNSIGNED(address_width - 1 downto 0) ;
         we      : in  std_logic ;
		 clk     : in std_logic;
         data_out : out UNSIGNED(data_width - 1 downto 0)
        );
end ram ;

architecture rtl of ram is
  type mem_type is array (2**address_width downto 0) of UNSIGNED(data_width - 1 downto 0) ;
  signal mem : mem_type ;
  signal addr_reg : unsigned (address_width -1 downto 0);

  begin
    data_out <= mem(conv_integer(addr_reg)) ;
    I0 : process 
	   begin
       wait until clk'event and clk = '1';
        if (we = '1') then
          mem(conv_integer(address)) <= data_in ;
        end if ;
	    addr_reg <= address;
    end process ;
end RTL ;

Library IEEE ;
use IEEE.std_logic_1164.all ;
use IEEE.std_logic_arith.all ;

entity tbuf is
   generic (data_width    : natural := 16 );
   port (
         data_in  : in  UNSIGNED(data_width - 1 downto 0) ;
         en       : in  std_logic ;
         data_out : out UNSIGNED(data_width - 1 downto 0)
        );
end tbuf ;

architecture rtl of tbuf is
  begin
  three_state :  process(data_in,en)
        begin
          if (en = '1') then
            data_out <=  data_in ;
          else
            data_out <= (others => 'Z') ;
          end if;
        end process ;
end RTL ;

Library IEEE ;
use IEEE.std_logic_1164.all ;
use IEEE.std_logic_arith.all ;

entity pseudorandom is
   generic (data_width    : natural := 8 );
   port (
         seed   : in  UNSIGNED (24 downto 0) ;
         init   : in  UNSIGNED (4 downto 0) ;
         load   : in  std_logic ;
         clk    : in  std_logic ;
         reset  : in  std_logic ;
         read   : in  std_logic ;
         write  : in  std_logic ;
         rand   : out UNSIGNED (7 downto 0) ;
         none   : out std_logic
        );
end pseudorandom ;

architecture rtl of pseudorandom is  
  signal latch_seed : UNSIGNED(24 downto 0) ;
  signal encoder_address : UNSIGNED(4 downto 0) ;
  signal random_data : UNSIGNED(7 downto 0) ;
  signal write_enable : std_logic ;
  signal ram_data : UNSIGNED(7 downto 0) ;
  begin
    I0 : entity work.dlatrg(rtl) 
          generic map (25)
          port map (seed,read,reset,latch_seed) ;
    I1 : entity work.priority_encoder(rtl) 
          generic map (25,5)
          port map (latch_seed,encoder_address,none) ;
    I2 : entity work.ram(rtl) 
          generic map (8,5)
          port map (random_data,encoder_address,write_enable,clk,ram_data) ;
    I3 : entity work.tbuf(rtl) 
          generic map (8)
          port map (ram_data,write,rand) ;
    I4 : entity work.lfsr(rtl) 
          generic map (8)
          port map (clk,reset,random_data) ;
     I5 : entity work.divide_by_n(rtl) 
          generic map (5)
          port map (init,load,clk,reset,write_enable) ;
end rtl ;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
欧美一区二区三区视频在线 | 26uuu精品一区二区三区四区在线| 亚洲激情网站免费观看| 91麻豆国产自产在线观看| 亚洲视频综合在线| 日本久久一区二区三区| 亚洲乱码国产乱码精品精小说| 99久久精品免费观看| 亚洲图片欧美一区| 日韩视频免费观看高清完整版在线观看 | 亚洲国产精品欧美一二99| 精品污污网站免费看| 青椒成人免费视频| 国产色综合久久| 99精品视频在线播放观看| 亚洲一区在线播放| 日本一区二区三区四区 | 中文无字幕一区二区三区 | gogo大胆日本视频一区| 亚洲免费观看在线观看| 欧美一级生活片| 国产91精品一区二区麻豆网站| 亚洲少妇中出一区| 欧美一区二区三区四区五区| 国产一区二区三区免费在线观看| 国产精品国产三级国产有无不卡| 欧美午夜精品免费| 国产一区二区三区精品欧美日韩一区二区三区 | 九色|91porny| 中文无字幕一区二区三区| 欧美在线三级电影| 国产精品一线二线三线精华| 一卡二卡三卡日韩欧美| 久久久国产午夜精品| 欧美性色黄大片| 福利电影一区二区| 亚洲成人福利片| 久久久久久久久久久电影| 欧美亚一区二区| 成人美女视频在线看| 日本sm残虐另类| 亚洲精品成人少妇| 久久久综合视频| 欧美一区二区视频在线观看2022| 99久久久免费精品国产一区二区| 日韩国产欧美三级| 亚洲精品欧美激情| 欧美国产精品中文字幕| 日韩精品中午字幕| 欧美日韩久久一区二区| av在线播放不卡| 国产成人综合在线观看| 老色鬼精品视频在线观看播放| 亚洲夂夂婷婷色拍ww47| 国产精品久久久久7777按摩| 国产精品久久久久精k8| 日韩欧美卡一卡二| 宅男在线国产精品| 欧美性受xxxx黑人xyx性爽| 国产成a人亚洲| 韩国av一区二区三区四区| 日本欧洲一区二区| 一个色综合网站| 亚洲色图在线播放| 亚洲欧美在线视频观看| 久久精品无码一区二区三区| 日韩精品一区二区三区蜜臀| 欧美日本国产视频| 欧美日本国产视频| 欧美日韩高清影院| 777色狠狠一区二区三区| 欧美体内she精视频| 91国产福利在线| 欧美性猛交xxxxxx富婆| 色噜噜狠狠色综合欧洲selulu| 99精品国产91久久久久久 | 裸体一区二区三区| 麻豆精品视频在线观看免费| 免费在线一区观看| 激情文学综合插| 激情综合网激情| 国产一区二区伦理| 成人午夜电影久久影院| 成人av影视在线观看| 成人免费视频视频| 成a人片国产精品| 99re6这里只有精品视频在线观看 99re8在线精品视频免费播放 | 国产在线精品不卡| 国产精品正在播放| 99这里只有久久精品视频| 99国产欧美久久久精品| 91免费看片在线观看| 欧美午夜精品理论片a级按摩| 欧美日韩一本到| 欧美久久高跟鞋激| 亚洲精品一区二区三区在线观看| 久久尤物电影视频在线观看| 欧美激情一区二区三区四区 | 欧美最新大片在线看| 欧美性一级生活| 欧美一区二区三区在线观看| 精品欧美一区二区久久 | 精品国产亚洲在线| 国产精品欧美久久久久一区二区| 亚洲蜜桃精久久久久久久| 日韩精品五月天| 国产精品一级黄| 欧美在线观看18| 日韩欧美aaaaaa| 日韩一区在线免费观看| 亚洲国产aⅴ天堂久久| 韩国女主播成人在线| 99国产精品久| 日韩欧美在线影院| 日韩码欧中文字| 美女视频一区二区| 色综合久久中文字幕| 日韩欧美国产综合| 亚洲精品日韩专区silk| 国内精品久久久久影院色| www.欧美色图| 日韩欧美激情在线| 亚洲精品国产第一综合99久久 | 国产精品国产三级国产三级人妇 | 亚洲精品午夜久久久| 美女一区二区三区在线观看| 99re这里只有精品首页| 日韩丝袜情趣美女图片| 亚洲色图一区二区三区| 精品无人码麻豆乱码1区2区| 日本韩国欧美三级| 国产欧美一区视频| 日本成人中文字幕在线视频| 9l国产精品久久久久麻豆| 欧美不卡一二三| 亚洲成av人片一区二区三区| 成人免费av在线| 欧美一区二区视频观看视频| 五月婷婷综合网| 成人免费视频app| 欧美精品一区男女天堂| 亚洲444eee在线观看| 91在线观看地址| 欧美国产成人在线| 韩国一区二区三区| 欧美刺激午夜性久久久久久久| 亚洲人123区| av在线不卡电影| 中文字幕第一区综合| 国产综合色视频| 精品人在线二区三区| 青青草国产成人av片免费| 欧美在线免费视屏| 亚洲精品老司机| av高清不卡在线| 中文字幕一区二区三区在线不卡 | 91精品国产综合久久久久久久久久 | 色综合久久精品| 国产精品女同互慰在线看| 国产一区二区三区av电影| 日韩一二在线观看| 日产精品久久久久久久性色| 欧美三级在线视频| 亚洲va欧美va国产va天堂影院| 91亚洲午夜精品久久久久久| 最新久久zyz资源站| 波波电影院一区二区三区| 国产精品网曝门| 99在线视频精品| 亚洲精品亚洲人成人网在线播放| 色诱亚洲精品久久久久久| 亚洲毛片av在线| 欧美亚洲高清一区| 日韩在线一区二区三区| 69久久99精品久久久久婷婷 | 九九热在线视频观看这里只有精品| 91精品国产麻豆国产自产在线 | 久久久久久久久蜜桃| 国产老妇另类xxxxx| 亚洲国产成人在线| 99久久99久久精品免费看蜜桃| 亚洲色图在线播放| 欧美日韩中字一区| 久久国内精品自在自线400部| 精品sm在线观看| 成人深夜福利app| 亚洲图片自拍偷拍| 精品日韩99亚洲| www.色综合.com| 婷婷综合在线观看| 久久伊人蜜桃av一区二区| 国产不卡高清在线观看视频| 中文字幕欧美一区| 欧美日韩一级黄| 国产综合久久久久久久久久久久| 国产欧美日本一区视频| 色妹子一区二区| 激情五月婷婷综合网| 综合久久国产九一剧情麻豆| 欧美日韩国产一级二级|