亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關(guān)于我們
? 蟲蟲下載站

?? buffer_display.vhd

?? buffer_display是4X4KEYPAD的輸出顯示模塊。可以顯示6個連續(xù)的按鍵
?? VHD
字號:
-- WARNING: Do NOT edit the input and output ports in this file in a text
-- editor if you plan to continue editing the block that represents it in
-- the Block Editor! File corruption is VERY likely to occur.

-- Copyright (C) 1991-2004 Altera Corporation
-- Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
-- support information,  device programming or simulation file,  and any other
-- associated  documentation or information  provided by  Altera  or a partner
-- under  Altera's   Megafunction   Partnership   Program  may  be  used  only
-- to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
-- other  use  of such  megafunction  design,  netlist,  support  information,
-- device programming or simulation file,  or any other  related documentation
-- or information  is prohibited  for  any  other purpose,  including, but not
-- limited to  modification,  reverse engineering,  de-compiling, or use  with
-- any other  silicon devices,  unless such use is  explicitly  licensed under
-- a separate agreement with  Altera  or a megafunction partner.  Title to the
-- intellectual property,  including patents,  copyrights,  trademarks,  trade
-- secrets,  or maskworks,  embodied in any such megafunction design, netlist,
-- support  information,  device programming or simulation file,  or any other
-- related documentation or information provided by  Altera  or a megafunction
-- partner, remains with Altera, the megafunction partner, or their respective
-- licensors. No other licenses, including any licenses needed under any third
-- party's intellectual property, are provided herein.


-- Generated by Quartus II Version 4.1 (Build Build 208 09/10/2004)
-- Created on Sun Oct 24 18:41:06 2004

-- read a number and display to 7segement display;
-- uses one-hot state machine;

LIBRARY ieee;
USE ieee.std_logic_1164.all;

ENTITY buffer_display IS
	PORT
	(
	   signal din10,din1: in std_logic_vector (3 downto 0);
	   signal done,RE,clk,reset: in std_logic;
	   signal dout10,dout1: out std_logic_vector (3 downto 0);
       signal start: out std_logic
	);
END buffer_display;

ARCHITECTURE buffer_display_architecture OF buffer_display IS
   constant s0: std_logic_vector (2 downto 0):="001";
   constant s1: std_logic_vector (2 downto 0):="010";
   constant s2: std_logic_vector (2 downto 0):="100";
   signal n_state,p_state: std_logic_vector (2 downto 0);
BEGIN
state:process(clk,reset)
begin
   if (reset='0') then  -- initial
        p_state<=s0;
   elsif (clk'event and clk='1') then
        p_state<=n_state;
   end if;
end process state;

comb:process(p_state,done,RE,din10,din1)
variable store1: std_logic_vector (3 downto 0);
variable store10: std_logic_vector (3 downto 0);
begin
    n_state<=p_state;
    if (p_state=s0) then -- initial state
       start<='0';
       dout10<="0000";
       dout1<="0000";
       if RE='0' then 
          n_state<=s1;
       else
          n_state<=s0;
       end if;
    end if;

    if (p_state=s1) then
       start<='0';
       if RE='0' then  -- read a number when RE# is 0
          n_state<=s1;
          store10:=din10;
          store1:=din1;
          dout10<=din10;
          dout1<=din1;
       elsif RE='1' then -- stop read when RE# become 1
          n_state<=s2;
       end if;
     end if;
     
     if (p_state=s2) then
        start<='1';      -- start the display_delay
        dout10<=store10;
        dout1<=store1;
        if done='0' then
              n_state<=s2;
        else
              n_state<=s0;
        end if;
       end if;
end process comb;
END buffer_display_architecture;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
久久先锋影音av鲁色资源| 久久久久久久久99精品| 91美女视频网站| 国产成人啪免费观看软件| 国产精品一品二品| 国产成人精品一区二区三区网站观看 | 不卡电影免费在线播放一区| 久久草av在线| 成人在线视频一区| 91在线观看视频| 欧美日韩一区 二区 三区 久久精品| 日本福利一区二区| 欧美日韩一区二区电影| 日韩欧美一级在线播放| 精品电影一区二区三区| 国产欧美视频在线观看| 中文字幕亚洲成人| 亚洲自拍偷拍av| 男男视频亚洲欧美| 高清成人免费视频| 日本道色综合久久| 日韩视频在线一区二区| 国产视频亚洲色图| 一区二区成人在线视频 | 蜜桃av噜噜一区| 国产成人免费视频精品含羞草妖精| 国产成人精品免费| 欧美三区免费完整视频在线观看| 日韩欧美电影一二三| 国产日韩影视精品| 亚洲影视在线播放| 国产成人在线视频网站| 欧美日韩在线播放一区| 久久亚洲影视婷婷| 亚洲成人自拍网| 国产综合成人久久大片91| 91蜜桃网址入口| 日韩精品一区二区三区在线播放| 亚洲国产精品t66y| 蜜桃精品视频在线| 在线观看av不卡| 国产精品女同互慰在线看| 婷婷丁香激情综合| 色婷婷综合久色| 欧美韩国一区二区| 久久99热99| 欧美图片一区二区三区| 国产精品第一页第二页第三页| 日本不卡免费在线视频| 欧洲一区二区av| 国产精品国产三级国产aⅴ无密码 国产精品国产三级国产aⅴ原创 | 中文字幕免费不卡在线| 蜜臀av一区二区| 欧美久久久一区| 一区二区三区免费观看| 成人黄色小视频在线观看| 欧美tk—视频vk| 免费观看日韩av| 欧美福利视频一区| 亚洲福利视频导航| 欧美视频一区二| 夜夜爽夜夜爽精品视频| 成人国产精品免费网站| 久久久久久久久蜜桃| 毛片不卡一区二区| 3d成人动漫网站| 亚洲一区二区在线观看视频| 不卡av在线免费观看| 久久精品亚洲乱码伦伦中文| 免费美女久久99| 日韩视频一区在线观看| 日本va欧美va瓶| 欧美一区二区精美| 久久国产综合精品| 精品久久久久一区| 国产一区二区三区在线看麻豆| 日韩一区二区在线看| 看电视剧不卡顿的网站| 精品美女一区二区| 国产一区视频在线看| 国产人妖乱国产精品人妖| 国产mv日韩mv欧美| 国产精品久久久久久久久免费桃花| 成人午夜看片网址| 综合婷婷亚洲小说| 欧美日韩一二三区| 日韩va亚洲va欧美va久久| 欧美成人精品二区三区99精品| 精品一区二区三区影院在线午夜| 久久综合色之久久综合| 成人激情免费视频| 一区二区三区欧美| 日韩欧美色综合网站| 国产盗摄一区二区| 亚洲精品国产a| 91精品国产综合久久久久| 精品亚洲aⅴ乱码一区二区三区| 国产亚洲一区二区在线观看| www.色精品| 亚洲成人资源网| 欧美精品一区二区三区一线天视频| 国产精品影视天天线| ㊣最新国产の精品bt伙计久久| 91片在线免费观看| 日本不卡一区二区三区| 国产精品看片你懂得| 欧美色网一区二区| 国产白丝网站精品污在线入口| 一区二区三区在线视频播放 | 国产91综合网| 亚洲制服丝袜av| www亚洲一区| 欧美四级电影在线观看| 国产一区二区三区综合| 亚洲国产一二三| 国产欧美一区视频| 9191久久久久久久久久久| 国产成人免费9x9x人网站视频| 亚洲成人av中文| 日本一区二区电影| 欧美国产视频在线| 欧美性色欧美a在线播放| 国产美女精品一区二区三区| 丝袜亚洲另类丝袜在线| 日韩一区有码在线| 久久亚洲综合av| 91精品国产91综合久久蜜臀| 9l国产精品久久久久麻豆| 麻豆freexxxx性91精品| 亚洲一区在线看| 亚洲欧美色综合| 中文字幕不卡的av| 久久午夜免费电影| 欧美一区二区成人6969| 欧美三级电影在线看| 91论坛在线播放| 成人久久视频在线观看| 国产在线视视频有精品| 日本亚洲欧美天堂免费| 亚洲二区在线观看| 亚洲一区二区三区在线看| 综合激情成人伊人| 中文字幕一区二区视频| 久久先锋影音av鲁色资源网| 欧美videossexotv100| 91精品国产综合久久久久久| 欧美人牲a欧美精品| 欧美日韩国产欧美日美国产精品| 91影视在线播放| 91农村精品一区二区在线| www.欧美精品一二区| 成人性视频网站| 成人精品一区二区三区中文字幕| 国产在线日韩欧美| 九九**精品视频免费播放| 久久超级碰视频| 国产精品亚洲午夜一区二区三区| 美女视频黄久久| 国产伦精一区二区三区| 国产成人精品aa毛片| 成人黄色av网站在线| 99re热这里只有精品免费视频 | 亚洲素人一区二区| 亚洲精品国久久99热| 亚洲一本大道在线| 日本欧美加勒比视频| 久久69国产一区二区蜜臀| 经典三级一区二区| 成人性生交大片免费看在线播放| 97se亚洲国产综合在线| 欧美在线色视频| 日韩欧美的一区| 国产精品水嫩水嫩| 亚洲va国产天堂va久久en| 免费在线一区观看| av不卡一区二区三区| 欧美日韩大陆一区二区| 久久网站热最新地址| 亚洲日穴在线视频| 日韩成人午夜精品| 国产iv一区二区三区| 在线亚洲免费视频| 精品国产亚洲在线| 国产精品久久久久影院亚瑟| 亚洲一区二区三区中文字幕 | 极品少妇一区二区三区精品视频| 国产91丝袜在线播放0| 91久久国产最好的精华液| 日韩你懂的在线观看| 亚洲婷婷综合色高清在线| 日韩av一区二区在线影视| 成人午夜av影视| 欧美一区二区三区日韩视频| 中文乱码免费一区二区| 日韩精品免费视频人成| 99久久久免费精品国产一区二区| 欧美一二三区在线| 一区二区在线观看不卡| 国产精品一区二区视频| 欧美群妇大交群的观看方式|