?? krtlcd.vhd
字號:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity krtlcd is
Port ( sysclk : in std_logic;
lcd_lightkey : in std_logic;
lcd_modekey : in std_logic;
lcd_di : out std_logic;
lcd_rw : out std_logic;
lcd_e : out std_logic;
lcd_k : out std_logic;
lcd_cs1 : out std_logic;
lcd_cs2 : out std_logic;
lcd_rst : out std_logic;
lcd_data : out std_logic_vector(7 downto 0);
lcd_dir : out std_logic);
end krtlcd;
architecture Behavioral of krtlcd is
component lcdclk is
Port ( sysclk : in std_logic;
clk_250khz : out std_logic;
clk_50hz : out std_logic);
end component;
component lcdkey is
Port ( lcd_lightkey : in std_logic;
lcd_modekey : in std_logic;
lcd_lightbuf : out std_logic;
lcd_modebuf : out std_logic_vector(1 downto 0);
sysclk : in std_logic);
end component;
signal clk_250khz,clk_50hz:std_logic;
signal lightbuf,ebuf:std_logic;
signal modebuf:std_logic_vector(1 downto 0);
signal dbbuf:std_logic_vector(7 downto 0);
type state is (initial,idle,write);
signal present_state:state;
signal counter_ini:integer range 0 to 10;
signal addrbuf:std_logic_vector(9 downto 0);
signal counterbuf:std_logic_vector(2 downto 0);
signal count50hz:std_logic_vector(10 downto 0);
type picdatatype is array (0 to 1023) of std_logic_vector(7 downto 0);
constant pic0data:picdatatype:=(
x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"1F",x"DF",x"5F",x"FF",x"FF",x"FF",x"1F",x"FF",x"FF",x"FF",x"1F",x"DF",x"3F",x"FF",x"3F",x"FF",x"1F",x"FF",x"FF",x"7F",x"7F",x"FF",x"FF",x"1F",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"1F",x"FF",x"7F",x"FF",x"FF",x"FF",x"FF",x"7F",x"BF",x"BF",x"DF",x"DF",x"FF",x"1F",x"FF",x"FF",x"DF",x"DF",x"BF",x"7F",x"FF",x"BF",x"BF",
x"DF",x"1F",x"FF",x"FF",x"FF",x"FF",x"FF",x"1F",x"5F",x"7F",x"7F",x"7F",x"1F",x"FF",x"FF",x"FF",x"FF",x"FF",x"1F",x"FF",x"FF",x"FF",x"7F",x"BF",x"DF",x"FF",x"7F",x"9F",x"FF",x"DF",x"BF",x"7F",x"FF",x"7F",x"BF",x"DF",x"DF",x"1F",x"FF",x"7F",x"FF",x"DF",x"BF",x"7F",x"7F",x"BF",x"1F",x"BF",x"1F",x"FF",x"7F",x"9F",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",
x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"F5",x"B5",x"B0",x"05",x"B5",x"B4",x"B5",x"EB",x"00",x"ED",x"82",x"FF",x"94",x"D5",x"D4",x"15",x"D0",x"D5",x"94",x"FE",x"B6",x"80",x"B7",x"FF",x"FD",x"00",x"FE",x"DA",x"B6",x"FE",x"6D",x"6B",x"40",x"A9",x"AE",x"FF",x"E7",x"DD",x"FE",x"01",x"FF",x"CF",x"F3",x"FD",x"A7",x"71",x"EE",x"FF",x"FB",x"FB",x"7B",x"BB",x"DA",x"E9",x"F3",
x"FB",x"00",x"FB",x"FB",x"FF",x"BF",x"BF",x"A0",x"AD",x"AD",x"2D",x"8D",x"A0",x"B7",x"BB",x"BD",x"FF",x"77",x"70",x"77",x"B7",x"81",x"FE",x"63",x"6D",x"AD",x"80",x"FF",x"FF",x"FF",x"87",x"B9",x"BE",x"B9",x"A7",x"DD",x"DB",x"00",x"D9",x"DE",x"FF",x"6F",x"61",x"6E",x"AD",x"AB",x"80",x"EF",x"0E",x"ED",x"80",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",
x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",
x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",x"7F",
x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"80",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"80",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"80",x"80",x"C0",x"C0",
x"C0",x"C0",x"C0",x"40",x"00",x"00",x"00",x"00",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"00",x"00",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"00",x"00",x"00",x"00",x"00",x"C0",x"C0",x"C0",x"C0",x"C0",x"40",x"00",x"00",x"00",x"C0",x"C0",x"C0",x"40",x"40",x"40",x"40",x"80",x"80",x"80",x"80",x"80",x"80",x"80",x"00",x"00",x"00",x"00",
x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"80",x"E0",x"EC",x"EF",x"EF",x"EF",x"EF",x"EF",x"EF",x"EF",x"EF",x"EF",x"FF",x"FF",x"FF",x"3F",x"0F",x"03",x"00",x"00",x"00",x"00",x"00",x"80",x"E0",x"F8",x"FE",x"FF",x"FF",x"FF",x"3F",x"0F",x"03",x"00",x"00",x"00",x"00",x"80",x"F0",x"FC",x"FF",x"FF",x"FF",x"8F",x"87",x"C7",x"E7",x"F7",x"FF",x"FF",x"7F",x"7F",x"3F",x"1F",x"0F",
x"07",x"03",x"00",x"00",x"C0",x"E0",x"EC",x"EF",x"EF",x"EF",x"EF",x"EF",x"EF",x"EF",x"EF",x"FF",x"FF",x"FF",x"FF",x"FF",x"7F",x"0F",x"03",x"80",x"E0",x"F8",x"F9",x"FF",x"FF",x"FF",x"FF",x"FF",x"FE",x"38",x"C0",x"F0",x"FF",x"FF",x"FF",x"3F",x"0F",x"01",x"00",x"00",x"0C",x"0F",x"0F",x"0F",x"0F",x"0D",x"1D",x"1D",x"1D",x"FC",x"A2",x"AA",x"AA",x"AA",x"5E",x"56",x"54",x"00",x"00",x"00",
x"00",x"00",x"00",x"00",x"00",x"00",x"C0",x"F0",x"F6",x"F7",x"F7",x"F7",x"F7",x"F7",x"F7",x"F7",x"F7",x"F7",x"FF",x"FF",x"7F",x"1F",x"07",x"81",x"E0",x"F8",x"F8",x"F8",x"F8",x"F8",x"F8",x"FE",x"FF",x"FF",x"FF",x"FF",x"FF",x"FB",x"F8",x"F8",x"F8",x"78",x"08",x"C0",x"F0",x"FE",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"7F",x"3F",x"0F",x"0F",x"83",x"E3",x"F9",x"FC",x"FC",x"7C",x"0C",x"00",
x"80",x"E0",x"F0",x"F6",x"F7",x"F7",x"F7",x"F7",x"F7",x"F7",x"F7",x"F7",x"FF",x"FF",x"FF",x"FF",x"FF",x"3F",x"0F",x"81",x"E0",x"F8",x"FE",x"FF",x"FF",x"F7",x"F3",x"F0",x"F0",x"F0",x"F0",x"F0",x"FC",x"FF",x"FF",x"FF",x"3F",x"07",x"01",x"E0",x"F0",x"F0",x"F0",x"F0",x"F0",x"F0",x"F0",x"F0",x"F0",x"B0",x"A0",x"A0",x"AE",x"AB",x"AB",x"5A",x"56",x"54",x"15",x"05",x"01",x"00",x"00",x"00",
x"00",x"00",x"00",x"00",x"00",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"01",x"00",x"00",x"02",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"01",x"00",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"01",x"00",x"00",x"00",x"00",x"02",x"03",x"03",x"03",x"03",x"01",x"00",x"00",x"02",
x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"00",x"00",x"02",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"00",x"00",x"00",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"03",x"02",x"02",x"02",x"02",x"02",x"02",x"02",x"00",x"01",x"01",x"01",x"00",x"00",x"00",x"00",x"00",x"00",
x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",
x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00");
constant pic1data:picdatatype:=(
x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"20",x"A0",x"10",x"20",x"10",x"30",x"80",x"30",x"90",x"00",x"90",x"00",x"A0",x"20",x"80",x"10",x"90",x"00",x"B0",x"90",x"A0",x"90",x"B0",x"80",x"B0",x"90",x"A0",x"90",x"B0",x"80",x"B0",x"9B",x"97",x"98",x"94",x"80",x"90",x"A0",x"90",x"A0",x"90",x"A0",x"90",x"A0",
x"90",x"A0",x"90",x"A0",x"90",x"A0",x"90",x"A0",x"90",x"A0",x"90",x"A0",x"90",x"04",x"84",x"9B",x"97",x"2A",x"90",x"A0",x"10",x"A0",x"90",x"20",x"90",x"20",x"90",x"20",x"90",x"00",x"B0",x"00",x"90",x"10",x"A0",x"00",x"20",x"00",x"00",x"00",x"20",x"00",x"20",x"00",x"20",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",
x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"EB",x"BE",x"55",x"EB",x"BF",x"7F",x"FF",x"FF",x"FF",x"FF",x"FE",x"85",x"CC",x"85",x"CC",x"FD",x"95",x"FF",x"FF",x"87",x"07",x"07",x"07",x"F7",x"07",x"07",x"07",x"07",x"5F",x"87",x"03",x"87",x"87",x"A7",x"D7",x"07",x"07",
x"07",x"07",x"FF",x"DD",x"A7",x"FD",x"7F",x"7D",x"DB",x"65",x"D1",x"21",x"92",x"7E",x"05",x"3B",x"D5",x"6B",x"F5",x"BF",x"75",x"AF",x"D5",x"6B",x"D5",x"2B",x"95",x"13",x"8D",x"5F",x"9D",x"5F",x"8D",x"5D",x"08",x"F5",x"C8",x"25",x"48",x"A5",x"48",x"A5",x"40",x"69",x"40",x"29",x"40",x"09",x"00",x"01",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",
x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"FF",x"FF",x"FF",x"FF",x"FF",x"FE",x"DF",x"FF",x"FF",x"FD",x"96",x"6B",x"B5",x"DF",x"7F",x"FE",x"ED",x"DF",x"FF",x"FB",x"30",x"31",x"30",x"FB",x"D7",x"3A",x"EF",x"FF",x"10",x"10",x"00",x"10",x"7A",x"15",x"00",x"01",x"00",x"95",x"50",x"00",x"10",x"00",x"95",x"50",x"00",x"10",
x"00",x"10",x"FF",x"F7",x"DD",x"7A",x"EC",x"BC",x"F4",x"EF",x"FD",x"CB",x"F6",x"ED",x"FA",x"F5",x"EE",x"DB",x"F6",x"DD",x"F7",x"DD",x"EA",x"F5",x"DA",x"ED",x"F2",x"DD",x"EA",x"D5",x"AA",x"55",x"AA",x"01",x"E8",x"FF",x"4F",x"60",x"08",x"40",x"40",x"08",x"80",x"00",x"00",x"80",x"80",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",
x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"2F",x"FF",x"FF",x"FF",x"FF",x"FF",x"7D",x"F7",x"FF",x"EF",x"7D",x"D7",x"AA",x"75",x"DF",x"BB",x"FF",x"76",x"EF",x"BF",x"1C",x"FC",x"F4",x"FE",x"F5",x"9A",x"6B",x"FF",x"54",x"80",x"80",x"80",x"55",x"CA",x"80",x"80",x"80",x"54",x"CA",x"80",x"80",x"80",x"52",x"C1",x"80",x"80",
x"80",x"C0",x"7F",x"EE",x"FF",x"ED",x"FF",x"FF",x"AD",x"FA",x"FF",x"75",x"F9",x"70",x"F9",x"58",x"F1",x"EE",x"D5",x"EA",x"75",x"FE",x"FD",x"24",x"6C",x"6F",x"7C",x"08",x"F4",x"0A",x"80",x"4B",x"A0",x"1A",x"3F",x"37",x"08",x"00",x"00",x"00",x"00",x"00",x"01",x"00",x"00",x"20",x"11",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",
x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"2F",x"FF",x"FF",x"FF",x"FF",x"FF",x"6D",x"FF",x"FF",x"DB",x"B6",x"5D",x"AB",x"56",x"FD",x"DF",x"BB",x"F7",x"DE",x"7C",x"9B",x"3F",x"D9",x"57",x"DE",x"BB",x"2E",x"B5",x"5F",x"F5",x"5F",x"BB",x"F6",x"ED",x"51",x"69",x"75",x"69",x"54",x"FB",x"BF",x"F5",x"6A",x"71",x"6A",
x"55",x"6B",x"BF",x"F6",x"DF",x"7D",x"FF",x"BB",x"56",x"FD",x"FE",x"FD",x"D6",x"ED",x"FE",x"47",x"9D",x"EF",x"7A",x"E7",x"5F",x"ED",x"CA",x"A4",x"54",x"A8",x"44",x"BC",x"5A",x"25",x"DA",x"25",x"D2",x"FC",x"FC",x"0C",x"EC",x"44",x"8C",x"8C",x"84",x"EC",x"CC",x"24",x"28",x"20",x"6A",x"11",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",
x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"2B",x"FF",x"FF",x"FF",x"FF",x"FF",x"F6",x"7F",x"ED",x"FF",x"4A",x"B5",x"5F",x"B6",x"ED",x"FF",x"57",x"FE",x"FD",x"6A",x"55",x"7A",x"30",x"EA",x"DD",x"AA",x"DF",x"9C",x"DF",x"DD",x"BB",x"DE",x"FD",x"DE",x"BE",x"DA",x"FE",x"DE",x"BF",x"DF",x"FD",x"DA",x"BE",x"DE",
x"FA",x"DE",x"B7",x"EE",x"DD",x"B7",x"5F",x"FA",x"6E",x"55",x"6F",x"BF",x"FB",x"B5",x"6B",x"55",x"6A",x"BD",x"B3",x"68",x"B9",x"69",x"6F",x"BF",x"DB",x"BE",x"6B",x"D6",x"6D",x"BA",x"D5",x"F0",x"FF",x"7F",x"82",x"00",x"00",x"11",x"20",x"11",x"00",x"09",x"95",x"88",x"00",x"08",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",
x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"AF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FF",x"FE",x"FD",x"FF",x"FF",x"FF",x"FF",x"FF",x"FB",x"00",x"00",x"00",x"00",x"BF",x"54",x"FF",x"FF",x"D7",x"AB",x"51",x"AB",x"51",x"AB",x"51",x"AB",x"51",x"AB",x"51",x"AB",x"51",x"AB",x"51",x"AB",x"01",
x"FF",x"FF",x"AB",x"77",x"DD",x"FF",x"AB",x"7E",x"D5",x"BE",x"72",x"DF",x"B2",x"7D",x"DB",x"B6",x"79",x"D7",x"BB",x"77",x"CA",x"BE",x"73",x"9E",x"F3",x"BF",x"53",x"BE",x"F5",x"2A",x"9D",x"77",x"DB",x"B7",x"7A",x"D5",x"B2",x"58",x"B8",x"D0",x"B8",x"D4",x"EA",x"F4",x"4B",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",
x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"01",x"08",x"07",x"0F",x"07",x"07",x"07",x"07",x"0F",x"0F",x"07",x"0F",x"0F",x"0F",x"0F",x"07",x"0F",x"0F",x"0F",x"0F",x"04",x"08",x"0C",x"0E",x"0D",x"07",x"0F",x"07",x"0E",x"0D",x"06",x"0F",x"0E",x"0D",x"0E",x"07",x"0E",x"0D",x"0E",x"0F",x"06",x"0D",x"06",x"0E",
x"07",x"0F",x"05",x"0F",x"0A",x"0F",x"07",x"0D",x"0E",x"0B",x"05",x"0E",x"07",x"0D",x"0E",x"07",x"0D",x"0A",x"0F",x"04",x"0F",x"06",x"0D",x"06",x"0D",x"06",x"07",x"05",x"06",x"07",x"07",x"05",x"06",x"06",x"07",x"05",x"06",x"05",x"02",x"07",x"03",x"06",x"01",x"03",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00");
constant pic2data:picdatatype:=(
x"00",x"E0",x"E0",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",
x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"60",x"E0",x"E0",x"00",x"00",
x"00",x"FF",x"FF",x"00",x"00",x"7C",x"44",x"44",x"44",x"44",x"44",x"7C",x"00",x"00",x"00",x"80",x"80",x"80",x"80",x"80",x"FC",x"80",x"80",x"80",x"80",x"80",x"00",x"04",x"04",x"C8",x"A8",x"90",x"E8",x"88",x"C4",x"34",x"08",x"04",x"00",x"80",x"80",x"80",x"FC",x"80",x"80",x"80",x"F0",x"88",x"84",x"84",x"00",x"20",x"20",x"20",x"20",x"A0",x"7C",x"24",x"24",x"20",x"20",x"20",x"00",x"04",
x"C8",x"30",x"C8",x"04",x"24",x"E0",x"BC",x"94",x"D4",x"A0",x"00",x"9C",x"84",x"FC",x"80",x"F0",x"88",x"04",x"54",x"50",x"C8",x"48",x"00",x"04",x"48",x"D0",x"60",x"40",x"7C",x"C4",x"64",x"50",x"08",x"04",x"00",x"04",x"04",x"04",x"04",x"04",x"FC",x"04",x"04",x"04",x"04",x"04",x"00",x"00",x"00",x"00",x"7C",x"40",x"40",x"40",x"40",x"F0",x"08",x"04",x"00",x"00",x"FF",x"FF",x"00",x"00",
x"00",x"FF",x"FF",x"00",x"01",x"03",x"05",x"01",x"11",x"09",x"05",x"03",x"01",x"00",x"00",x"00",x"10",x"16",x"11",x"10",x"1F",x"10",x"13",x"14",x"10",x"00",x"00",x"02",x"02",x"0A",x"12",x"02",x"02",x"1E",x"03",x"02",x"0E",x"02",x"00",x"08",x"18",x"08",x"0F",x"08",x"08",x"08",x"0F",x"08",x"08",x"00",x"00",x"06",x"05",x"1C",x"05",x"05",x"0D",x"15",x"0D",x"15",x"04",x"07",x"00",x"04",
x"07",x"04",x"1F",x"01",x"1A",x"0E",x"0B",x"1E",x"0A",x"02",x"00",x"08",x"09",x"08",x"1C",x"0A",x"09",x"00",x"06",x"1B",x"06",x"02",x"00",x"00",x"10",x"14",x"12",x"12",x"0B",x"0E",x"0A",x"0A",x"08",x"00",x"00",x"00",x"01",x"01",x"01",x"01",x"1F",x"00",x"00",x"00",x"00",x"00",x"00",x"02",x"02",x"02",x"02",x"1E",x"02",x"02",x"02",x"1F",x"00",x"00",x"00",x"00",x"FF",x"FF",x"00",x"00",
x"00",x"FF",x"FF",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"C0",x"20",x"20",x"20",x"C0",x"00",x"C0",x"20",x"20",x"20",x"C0",x"00",x"C0",x"20",x"20",x"20",x"C0",x"00",x"00",x"20",x"E0",x"20",x"00",x"00",x"40",x"20",x"20",x"20",x"C0",x"00",x"00",x"00",x"20",x"E0",x"20",x"00",x"C0",x"20",x"20",x"20",x"C0",x"00",x"C0",x"20",x"20",x"20",
x"60",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"A0",x"A0",x"A0",x"C0",x"00",x"00",x"20",x"20",x"C0",x"00",x"00",x"20",x"E0",x"A0",x"A0",x"40",x"00",x"00",x"A0",x"A0",x"A0",x"C0",x"00",x"00",x"00",x"00",x"20",x"E0",x"20",x"00",x"40",x"20",x"20",x"20",x"C0",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"FF",x"FF",x"00",x"00",
x"00",x"FF",x"FF",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"CF",x"D0",x"D0",x"D0",x"CF",x"C0",x"CF",x"D0",x"D0",x"D0",x"CF",x"C0",x"CF",x"D0",x"D0",x"D0",x"CF",x"C0",x"C0",x"C0",x"DF",x"C8",x"C0",x"C0",x"D8",x"D0",x"D0",x"D0",x"CF",x"C0",x"CC",x"D2",x"D2",x"DF",x"D0",x"C0",x"CF",x"D0",x"D0",x"D0",x"CF",x"C0",x"D8",x"D1",x"D2",x"D2",
x"CC",x"C0",x"C2",x"C2",x"C2",x"C2",x"C2",x"C0",x"C1",x"C2",x"C2",x"C1",x"C0",x"C0",x"C0",x"C2",x"CF",x"C2",x"C0",x"C0",x"C1",x"C2",x"C2",x"C1",x"C0",x"C0",x"C1",x"C2",x"C2",x"C1",x"C0",x"C0",x"C2",x"C2",x"C1",x"C3",x"C2",x"C0",x"D8",x"D0",x"D0",x"D0",x"CF",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"C0",x"FF",x"FF",x"00",x"00",
x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"C0",x"40",x"40",x"40",x"C0",x"40",x"40",x"40",x"C0",x"00",x"00",x"00",x"40",x"40",x"80",x"00",x"00",x"00",x"80",x"40",x"40",x"80",x"C0",x"00",x"00",x"00",x"40",x"40",x"40",x"C0",x"40",x"40",x"40",x"40",x"80",x"40",
x"40",x"00",x"00",x"00",x"40",x"40",x"80",x"00",x"00",x"00",x"00",x"00",x"80",x"80",x"40",x"40",x"40",x"00",x"00",x"80",x"80",x"80",x"00",x"00",x"00",x"00",x"00",x"00",x"80",x"80",x"80",x"00",x"00",x"00",x"80",x"80",x"00",x"00",x"00",x"00",x"80",x"80",x"80",x"80",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",
x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"10",x"10",x"2D",x"32",x"52",x"52",x"8D",x"D2",x"52",x"32",x"2F",x"90",x"10",x"00",x"40",x"50",x"58",x"95",x"12",x"15",x"98",x"50",x"21",x"00",x"1F",x"20",x"20",x"00",x"40",x"6C",x"83",x"20",x"27",x"28",x"A7",x"48",x"2F",x"F2",
x"12",x"11",x"F1",x"00",x"84",x"04",x"04",x"05",x"06",x"FC",x"06",x"25",x"4C",x"94",x"24",x"04",x"84",x"00",x"61",x"80",x"80",x"80",x"41",x"3E",x"00",x"70",x"88",x"88",x"88",x"FF",x"80",x"00",x"3E",x"41",x"80",x"80",x"41",x"3E",x"00",x"C7",x"88",x"88",x"90",x"61",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -