?? 全加器的設計.txt
字號:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity plus2 IS
PORT(x,y,cin: IN std_logic;
S,co: out std_logic);
END ;
ARCHITECTURE aaa OF plus2 IS
signal temp: std_logic_vector(2 downto 0);
Begin
temp <=x&y&cin;
Process(temp)
begin
Case temp is
When "000"=>s<='0';
co<='0';
When "001"|"010"|"100"=>s<='1';
co<='0';
When "011"|"101"|"110"=>s<='0';
co<='1';
When "111"=>s<='1';
co<='1';
When others=>s<='X';
co<='X';
End case;
End process;
end aaa;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -