?? transcript
字號:
# do selwave.ado
# ** Warning: (vlib-34) Library already exists at "work".
# resume
# Model Technology ModelSim SE vcom 6.0 Compiler 2004.08 Aug 19 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package numeric_std
# -- Loading package vital_timing
# -- Loading package vcomponents
# -- Compiling entity sel4_1
# -- Compiling architecture behavioral of sel4_1
# -- Compiling configuration cfg_sel4_1
# -- Loading entity sel4_1
# -- Loading architecture behavioral of sel4_1
# Model Technology ModelSim SE vcom 6.0 Compiler 2004.08 Aug 19 2004
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package numeric_std
# -- Loading package textio
# -- Loading package std_logic_textio
# -- Compiling entity selwave
# -- Compiling architecture testbench_arch of selwave
# -- Compiling configuration sel4_1_cfg
# -- Loading entity selwave
# -- Loading architecture testbench_arch of selwave
# -- Loading package vital_timing
# -- Loading package vcomponents
# -- Loading entity sel4_1
# vsim -lib work -t 1ps selwave
# // ModelSim SE 6.0 Aug 19 2004
# //
# // Copyright Mentor Graphics Corporation 2004
# // All Rights Reserved.
# //
# // THIS WORK CONTAINS TRADE SECRET AND
# // PROPRIETARY INFORMATION WHICH IS THE PROPERTY
# // OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS
# // AND IS SUBJECT TO LICENSE TERMS.
# //
# Loading D:\Modeltech_6.0\win32/../std.standard
# Loading D:\Modeltech_6.0\win32/../ieee.std_logic_1164(body)
# Loading D:\Modeltech_6.0\win32/../ieee.numeric_std(body)
# Loading D:\Modeltech_6.0\win32/../std.textio(body)
# Loading D:\Modeltech_6.0\win32/../ieee.std_logic_textio(body)
# Loading work.selwave(testbench_arch)
# Loading D:\Modeltech_6.0\win32/../ieee.vital_timing(body)
# Loading D:/Xilinx/vhdl/mti_se/unisim.vcomponents
# Loading work.sel4_1(behavioral)
# ** Failure: Success! Simulation for annotation completed
# Time: 1950 ns Iteration: 0 Process: /selwave/line__84 File: selwave.ant
# Break at selwave.ant line 203
# Stopped at selwave.ant line 203
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -