亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關(guān)于我們
? 蟲蟲下載站

?? dct8_final.txt

?? IDCT-M is a medium speed 1D IDCT core -- it can accept a continous stream of 12-bit input words at
?? TXT
?? 第 1 頁 / 共 5 頁
字號:
-- DO NOT use WORD WRAP to view properly
-- IDCT-M is a medium speed 1D IDCT core
-- it can accept a continous stream of 12-bit input words at a rate of
-- 1 bit/ck cycle, operating at 50MHz speed, it can process MP@ML MPEG video
-- the core is 100% synthesizable
-- 
-- Designed by Sherif Taher Eid, sherif_taher@ieee.org
-- 
-- Top entity is DCT8_final
-- 
-- ENTITY DCT8_final IS
--    PORT( 
--       bit_in_even : IN     std_logic  ;
--       bit_in_odd  : IN     std_logic  ;
--       clk         : IN     std_ulogic  ;
--       reset_int   : IN     std_ulogic  ;
--       indicate    : OUT    std_logic_vector (3 DOWNTO 0) ;
--       minus_out   : OUT    unsigned (11 DOWNTO 0) ;
--       plus_out    : OUT    unsigned (11 DOWNTO 0)
--    );
-- 
-- The core is used for IDCT calculation
-- input words are assumed to be of 12 bits length
-- for a certain input vector
-- 
-- I = [I0 I1 I2 I3 I4 I5 I6 I7]
-- 
-- an output vector is created which is the 1D IDCT of I
-- 
-- x = [x0 x1 x2 x3 x4 x5 x6 x7]
-- 
-- there are two single bit inputs "bit_in_even" and "bit_in_odd"
-- 
-- knowing that every input word is composed of 12 bits 
-- 
-- e.g. I1[11 downto 0], I1[0] is the LSB
-- 
-- when inputing a certain word to the core start with the LSB
-- 
-- time (ck cycles): 0     1     2     3  ............. 12 ........
-- 
-- input           :       I1[0] I1[1] I1[2] .......... I1[11] .... 
-- 
-- input to the core is as follows
-- 
-- time (ck cycles):   0     12    24    36    48    60    72    84
-- 
-- bit_in_even     :   I0... I2... I4... I6... input the next 4 even words
-- 
-- bit_in_odd      :   I1... I3....I5... I7... input the next 4 odd words
-- 
-- assert the intial LSB bits at the first rising edge after asserting 
-- "reset" to '0'. "reset" is active '1'
-- 
-- output comes out in the form of parallel 12-bit words, the "indicate"
-- 
-- vector is a 4-bit output showing proper instances and orders of the output words as follows
-- 
-- 
-- indicate (stable value at ck rising_edge)  :     0001     0010     0100     1000
-- 
-- plus_out                                   :      x0       x1       x2       x3
-- 
-- minus_out                                  :      x7       x6       x5       x4
-- 
-- any further inquiries please contact sherif_taher@ieee.org


LIBRARY ieee ;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;

ENTITY add12signed IS
   PORT( 
      a      : IN     unsigned (11 DOWNTO 0) ;
      b      : IN     unsigned (11 DOWNTO 0) ;
      output : OUT    unsigned (12 DOWNTO 0)
   );

-- Declarations

END add12signed ;

ARCHITECTURE beh OF add12signed IS

signal outtemp : signed(12 downto 0);

BEGIN

outtemp <= signed(a(11)&a) + signed(b(11)&b);
output <= unsigned(outtemp);

END beh;

LIBRARY ieee ;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;

ENTITY add14signed IS
   PORT( 
      ain    : IN     unsigned (13 DOWNTO 0) ;
      bin    : IN     unsigned (13 DOWNTO 0) ;
      output : OUT    unsigned (13 DOWNTO 0)
   );

-- Declarations

END add14signed ;
--
--
ARCHITECTURE beh OF add14signed IS

signal outtemp : signed(13 downto 0);

BEGIN

outtemp <= signed(ain) + signed(bin);
output <= unsigned(outtemp);

END beh;

--
--
LIBRARY ieee ;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;

ENTITY controller IS
   PORT( 
      clk     : IN     std_ulogic  ;
      reset   : IN     std_ulogic  ;
      clk1    : OUT    std_ulogic  ;
      clk2    : OUT    std_ulogic  ;
      compl   : OUT    std_ulogic  ;
      enable0 : OUT    std_ulogic  ;
      enable1 : OUT    std_ulogic  ;
      address : BUFFER unsigned (1 DOWNTO 0)
   );

-- Declarations

END controller ;
--
--
ARCHITECTURE beh OF controller IS


BEGIN

main : process(clk)

variable count : integer := 0;
variable state : integer range 1 to 20 := 19;

begin

if rising_edge(clk) then
	if (reset = '1')then
		clk1 <= '0';
		clk2 <= '0';
		enable0 <= '0';
		enable1 <= '0';
		compl <= '0';
		address <= "00";
		count := 0;
		state := 1;	

	else
		case state is 
			
			when 1 =>
				enable0 <= '1';
				enable1 <= '1';
				state := 2;
			when 2 =>
				state := 3;
			when 3 =>
				state := 4;
			when 4 =>
				state := 5;
			when 5 =>
				state := 6;
			when 6 =>
				state := 7;
			when 7 =>
				state := 8;
			when 8 =>
				state := 9;
			when 9 =>
				state := 10;
			when 10 =>
				state := 11;
			when 11 =>
				state := 12;
			when 12 =>
				compl <= '1';
				state := 13;
			when 13 =>
				compl <= '0';
				state := 14;
			when 14 =>
				state := 20;
			when 20 =>
				clk1 <= '1';
				enable0 <= '0';
				address <= address + "01";
				count := count + 1;
				state := 15;
			when 15 =>
				clk1 <= '0';
				enable0 <= '1';
				state := 16;
			when 16 =>
				if count = 4 then
					clk2 <= '1';
					state := 19;
				else
					state := 17;
				end if;
			when 17 =>
				state := 18;
			when 18 =>
				state := 2;
				enable0 <= '1';
				enable1 <= '1';
			when 19 =>
				clk1 <= '0';
				clk2 <= '0';
				enable0 <= '0';
				enable1 <= '0';
				compl <= '0';
				address <= "00";
				count := 0;
				state := 1;	
		end case;
	end if;
end if;
end process main;

END beh;

--
--
LIBRARY ieee ;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;

ENTITY delay_e IS
   PORT( 
      clk            : IN     std_ulogic  ;
      d_in           : IN     unsigned (6 DOWNTO 0) ;
      enable_rom     : IN     std_ulogic  ;
      reset          : IN     std_ulogic  ;
      address_out    : OUT    unsigned (1 DOWNTO 0) ;
      clk1out        : OUT    std_ulogic  ;
      clk2out        : OUT    std_ulogic  ;
      compl_out      : OUT    std_ulogic  ;
      d_out          : OUT    unsigned (6 DOWNTO 0) ;
      enable_1_out   : OUT    std_ulogic  ;
      enable_out     : OUT    std_ulogic  ;
      enable_rom_out : OUT    std_ulogic  ;
      reset_out      : OUT    std_ulogic 
   );

-- Declarations

END delay_e ;
--
--
ARCHITECTURE beha OF delay_e IS

signal d_int : unsigned(6 downto 0);
signal enable_rom_int : std_ulogic;

BEGIN

reset_out <= reset;

process(clk,reset)
begin
	if reset = '0' then
			d_int <= "0000000";
			enable_rom_int <= '0';
			
			d_out <= "0000000";
			address_out <= "00";
			clk1out <= '0';
			clk2out <= '0';
			compl_out <= '0';
			enable_out <= '0';
			enable_1_out <= '0';
			enable_rom_out <= '0';
	else
		if rising_edge(clk) then
			d_int <= d_in;
			enable_rom_int <= enable_rom;

			d_out <= d_int;
			address_out <= d_int(6 downto 5);
			clk1out <= d_int(4);
			clk2out <= d_int(3);
			compl_out <= d_int(2);
			enable_out <= d_int(1);
			enable_1_out <= d_int(0);
			enable_rom_out <= enable_rom_int;
		end if;
	end if;
end process;


END beha;

--
--
LIBRARY ieee ;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;

ENTITY div2 IS
   PORT( 
      Sin  : IN     unsigned (12 downto 0) ;
      Sout : OUT    unsigned (11 downto 0)
   );

-- Declarations

END div2 ;
--
--
ARCHITECTURE arch OF div2 IS
BEGIN

Sout <= Sin(12 downto 1);


END arch;

--
--
LIBRARY ieee ;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;

ENTITY mux_adder IS
   PORT( 
      clk       : IN     std_ulogic  ;
      dbus00    : IN     unsigned (13 DOWNTO 0) ;
      dbus01    : IN     unsigned (13 DOWNTO 0) ;
      dbus02    : IN     unsigned (13 DOWNTO 0) ;
      dbus03    : IN     unsigned (13 DOWNTO 0) ;
      dbuse0    : IN     unsigned (13 DOWNTO 0) ;
      dbuse1    : IN     unsigned (13 DOWNTO 0) ;
      dbuse2    : IN     unsigned (13 DOWNTO 0) ;
      dbuse3    : IN     unsigned (13 DOWNTO 0) ;
      reset_int : IN     std_ulogic  ;
      sig00     : IN     std_ulogic  ;
      sig01     : IN     std_ulogic  ;
      sig02     : IN     std_ulogic  ;
      sig03     : IN     std_ulogic  ;
      indicate  : OUT    std_logic_vector (3 DOWNTO 0) ;
      minus     : OUT    unsigned (12 DOWNTO 0) ;
      plus      : OUT    unsigned (12 DOWNTO 0)
   );

-- Declarations

END mux_adder ;
--
--
ARCHITECTURE behavioral OF mux_adder IS

signal x00,x01,x02,x03,xe0,xe1,xe2,xe3 : unsigned(12 downto 0);
signal plustmp,minustmp : unsigned(12 downto 0);
--signal sig00_d,sig01_d,sig02_d,sig03_d : std_ulogic;

BEGIN

-- concurrent signal assignments

x00 <= dbus00(13)&dbus00(13 downto 2);
x01 <= dbus01(13)&dbus01(13 downto 2);
x02 <= dbus02(13)&dbus02(13 downto 2);
x03 <= dbus03(13)&dbus03(13 downto 2);
xe0 <= dbuse0(13)&dbuse0(13 downto 2);
xe1 <= dbuse1(13)&dbuse1(13 downto 2);
xe2 <= dbuse2(13)&dbuse2(13 downto 2);
xe3 <= dbuse3(13)&dbuse3(13 downto 2);

--plus <= unsigned(plustmp);
--minus <= unsigned(minustmp);
plus <= plustmp;
minus <= minustmp;


process(reset_int,clk)
begin

if reset_int = '1' then
	plustmp <= "0000000000000";
	minustmp <= "0000000000000";
	indicate <= "0000";
else
	if rising_edge(clk) then
		if sig00 = '1' then
			plustmp <= x00 + xe0;
			minustmp <= x00 - xe0;
			indicate <= "0001";
		elsif sig01 = '1' then
			plustmp <= x01 + xe1;
			minustmp <= x01 - xe1;
			indicate <= "0010";
		elsif sig02 = '1' then
			plustmp <= x02 + xe2;
			minustmp <= x02 - xe2;
			indicate <= "0100";
		elsif sig03 = '1' then
			plustmp <= x03 + xe3;
			minustmp <= x03 - xe3;
			indicate <= "1000";
		else
			indicate <= "0000";
		end if;
	end if;
end if;			

end process;

END behavioral;

--
--
LIBRARY ieee ;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;

ENTITY reg12b IS
   PORT( 
      ain    : IN     unsigned (11 DOWNTO 0) ;
      clk    : IN     std_ulogic  ;
      enable : IN     std_ulogic  ;
      aout   : OUT    unsigned (11 DOWNTO 0)
   );

-- Declarations

END reg12b ;
--
--
ARCHITECTURE beh OF reg12b IS
BEGIN

process(clk,enable)
begin
	if enable = '0' then
		aout <= "000000000000";
	else
		if rising_edge(clk) then
			aout <= ain;
		end if;
	end if;
end process;


END beh;

--
--
LIBRARY ieee ;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;

ENTITY reg13b IS
   PORT( 
      ain    : IN     unsigned (12 DOWNTO 0) ;
      clk    : IN     std_ulogic  ;
      enable : IN     std_ulogic  ;
      aout   : OUT    unsigned (12 DOWNTO 0)
   );

-- Declarations

END reg13b ;
--
--
ARCHITECTURE beh OF reg13b IS
BEGIN

process(clk,enable)
begin
	if enable = '0' then
		aout <= "0000000000000";
	else
		if rising_edge(clk) then
			aout <= ain;
		end if;
	end if;
end process;

END beh;

--
--
LIBRARY ieee ;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;

ENTITY reg14b IS
   PORT( 
      ain    : IN     unsigned (13 DOWNTO 0) ;
      clk    : IN     std_ulogic  ;
      enable : IN     std_ulogic  ;
      aout   : OUT    unsigned (13 DOWNTO 0)
   );

-- Declarations

END reg14b ;
--
--
ARCHITECTURE beh OF reg14b IS
BEGIN

?? 快捷鍵說明

復(fù)制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
欧美在线短视频| 国精产品一区一区三区mba视频| 国产精品99久久久久| 亚洲欧洲三级电影| 91麻豆产精品久久久久久 | 亚洲第一在线综合网站| 在线观看日产精品| 亚洲成人av一区| 日韩亚洲欧美在线| 国产一区二区三区久久悠悠色av| 久久综合久久久久88| 成人av片在线观看| 一区二区成人在线视频| 欧美精品久久99| 国产美女久久久久| 亚洲欧洲日韩一区二区三区| 在线免费不卡视频| 蜜臀精品一区二区三区在线观看 | 精品国产乱码久久久久久免费| 国产一区二区三区在线观看免费| 中文久久乱码一区二区| 在线观看三级视频欧美| 免费看欧美美女黄的网站| 国产日韩欧美一区二区三区综合| 91免费观看在线| 日本成人在线网站| 国产精品久久三| 9191成人精品久久| 成人av一区二区三区| 午夜电影久久久| 欧美激情一区在线| 欧美日韩精品专区| 国产91丝袜在线18| 日韩精品亚洲专区| 中文字幕中文在线不卡住| 欧美日韩国产首页| 国产69精品久久99不卡| 日韩精品亚洲一区二区三区免费| 亚洲精品成人少妇| 久久久久成人黄色影片| 欧美日韩一区二区三区不卡 | 国产日韩精品一区| 欧美日韩亚洲不卡| www.激情成人| 久久91精品久久久久久秒播| 亚洲与欧洲av电影| 欧美国产激情二区三区| 欧美一级欧美三级| 欧美亚洲综合另类| 北条麻妃一区二区三区| 精品综合免费视频观看| 一卡二卡欧美日韩| 国产精品入口麻豆九色| 精品久久久久久久久久久久久久久 | 久久99热国产| 亚洲一区二区不卡免费| 中文字幕av一区二区三区免费看 | 精品亚洲aⅴ乱码一区二区三区| 一区二区久久久久久| 国产精品久久影院| 久久精品一区蜜桃臀影院| 91精品福利在线一区二区三区 | 中文字幕欧美激情| 精品国产乱码久久久久久久久| 欧美三级一区二区| 欧美在线你懂得| 色偷偷一区二区三区| www.欧美亚洲| 91天堂素人约啪| 99久久综合狠狠综合久久| 成人激情综合网站| 欧美视频精品在线| 色婷婷精品大视频在线蜜桃视频 | thepron国产精品| 国产成人自拍在线| 国产黄色成人av| 国产一区在线精品| 国产精品一品二品| 国产成人啪免费观看软件| 国产毛片精品一区| 国产剧情在线观看一区二区 | 国产999精品久久久久久绿帽| 激情综合网天天干| 国精产品一区一区三区mba视频| 久99久精品视频免费观看| 蜜桃一区二区三区四区| 久久国产精品一区二区| 国产一区亚洲一区| 成人丝袜高跟foot| 91在线免费播放| 在线视频一区二区三| 欧美日本视频在线| 日韩视频一区二区三区| 26uuu国产在线精品一区二区| 久久蜜桃一区二区| 国产精品欧美精品| 亚洲精品乱码久久久久久日本蜜臀| 怡红院av一区二区三区| 香蕉加勒比综合久久| 美女视频黄 久久| 国产精品系列在线观看| 91在线国内视频| 欧美日韩和欧美的一区二区| 日韩欧美一区二区不卡| 国产日产精品一区| 亚洲天堂2014| 日本伊人午夜精品| 国产成人一级电影| 色88888久久久久久影院按摩 | 欧美一区二区美女| 久久久另类综合| 亚洲色图欧美在线| 日本欧美久久久久免费播放网| 国产一区二区三区久久久| 91视频免费播放| 91精品国产综合久久精品图片| 久久久www成人免费无遮挡大片| 亚洲免费观看高清完整版在线观看熊| 日韩中文字幕不卡| 国产99精品视频| 欧美疯狂性受xxxxx喷水图片| 久久精品一区二区三区不卡牛牛 | 91久久精品国产91性色tv| 欧美一区二区大片| 国产精品私人影院| 日本中文一区二区三区| av不卡在线观看| 精品国产一二三区| 一区二区三区国产精品| 国产精品18久久久久久久久| 欧美性色黄大片| 国产精品色在线观看| 日韩av在线发布| 色哟哟一区二区三区| 久久久精品人体av艺术| 亚洲mv大片欧洲mv大片精品| 丁香一区二区三区| 欧美成人vps| 亚洲福利视频一区| 99久久99久久精品免费看蜜桃 | 色www精品视频在线观看| 久久久影视传媒| 午夜久久久久久| 97精品电影院| 国产欧美精品一区二区三区四区| 日本不卡的三区四区五区| 97精品国产露脸对白| 欧美精彩视频一区二区三区| 免费在线观看一区二区三区| 在线日韩一区二区| 日韩一区欧美一区| 成人一道本在线| 精品国产乱码久久久久久久| 日本一不卡视频| 欧美视频精品在线| 亚洲一区二区欧美日韩| 91在线小视频| 日韩毛片一二三区| 成人性色生活片免费看爆迷你毛片| 精品久久久久久久久久久院品网| 偷拍日韩校园综合在线| 在线观看国产91| 亚洲精品自拍动漫在线| 成人app网站| 国产精品免费网站在线观看| 国产盗摄女厕一区二区三区 | 国产一区二区久久| 精品国产成人在线影院| 久久精品国产亚洲5555| 欧美成人r级一区二区三区| 欧美bbbbb| 日韩欧美色综合| 精品一区二区三区的国产在线播放 | 日韩高清在线电影| 欧美一区二区在线免费播放| 天天av天天翘天天综合网 | 91天堂素人约啪| 亚洲精品成人精品456| 91成人在线观看喷潮| 亚洲综合精品自拍| 欧美日韩不卡一区二区| 日本一区中文字幕| 久久免费精品国产久精品久久久久| 国产一区二区电影| 亚洲欧洲av一区二区三区久久| 91网站在线播放| 日韩精品免费专区| 精品剧情在线观看| 成人动漫一区二区在线| 亚洲精品成人天堂一二三| 3atv一区二区三区| 国产在线播精品第三| 国产精品国产三级国产| 欧美中文字幕亚洲一区二区va在线| 日本一道高清亚洲日美韩| 久久蜜桃一区二区| 色94色欧美sute亚洲13| 免费av成人在线| 国产精品国产三级国产普通话三级 | 精品久久国产97色综合|