?? testbench.v
字號(hào):
module testbentch;
5reg bit_in,reset,clk;
wire en,out,v;
parameter size=13,period=10;
integer addr=0;
reg mem[0:size];
integer fid;
golomb2 golomb1(bit_in,clk,reset,en,out,v);
initial mem[0]=1'b1;
initial
begin
clk=0;
forever
#(period/2) clk=~clk;
end
initial
begin
reset=1;
#period reset=0;
end
initial $readmemb("/home/liang1205/ldv/shifeng/code.txt",mem,1);
always @(posedge clk)
begin
if(en)
begin
addr=addr+1;
end
bit_in=mem[addr];
if(addr==size) $finish;
end
initial fid=$fopen("decode.txt");
always @(posedge clk)
begin
if(v)
$fwrite(fid,"%b",out);
end
endmodule
?? 快捷鍵說明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號(hào)
Ctrl + =
減小字號(hào)
Ctrl + -