亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? 雙口ram已調程序.txt

?? 本程序是用VHDL語言寫的
?? TXT
字號:
說明:在使用此程序時注意不要在最前最后一個數據地址單元存放數據地址,否則可能丟失。
       使用時只需要將數據地址分別放入數據地址單元便可。
此模塊為驗證模塊,DAZ,SJ:分別存放地址數據。




hhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhh
LIBRARY IEEE;主模塊負責把數據,地址發送到雙口RAM;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
 ENTITY IDT7132A IS
PORT(CLK,CLR,M,TW,CLKK:IN STD_LOGIC; M:由單片機控制,TW:用于控制EN信號。關閉雙口RAM
              DZA:IN STD_LOGIC_VECTOR(10 DOWNTO 0);
		     SJ:IN STD_LOGIC_VECTOR(7 DOWNTO 0 );
            P0:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
            DZ:OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
         EN,WR,RD:OUT STD_LOGIC);
END;
ARCHITECTURE ONE OF IDT7132A IS
SIGNAL W,D,S,EN1:STD_LOGIC;
SIGNAL DZZ:STD_LOGIC_VECTOR(10 DOWNTO 0);
SIGNAL P00:STD_LOGIC_VECTOR(7 DOWNTO 0);
TYPE DAO IS(ST0,ST1,ST2,ST3,ST4);
SIGNAL  UR1,UR2:DAO;
SIGNAL  Q:STD_LOGIC_VECTOR(3 DOWNTO 0);
BEGIN
PROCESS(CLK,CLR,M)
BEGIN
IF CLR='1'THEN UR2<=ST0;W<='1';RD<='0';WR<='0';D<='0';S<='0';
ELSIF CLK'EVENT AND CLK='1'THEN
CASE UR1 IS
WHEN ST0=>IF M='1'THEN UR2<=ST1;ELSE UR2<=ST0;END IF;
WHEN ST1=>IF W='1'THEN UR2<=ST2;ELSE UR2<=ST1;END IF;
WHEN ST2=>UR2<=ST3;D<='1';
WHEN ST3=>RD<='1';WR<='0';UR2<=ST4;
WHEN ST4=>W<='0';UR2<=ST0;S<='1';
WHEN OTHERS=>UR2<=ST0;
END CASE;
END IF;
END PROCESS;
PROCESS(CLK)
BEGIN
IF CLK'EVENT AND CLK='1'THEN UR1<=UR2;
END IF;
END PROCESS;
PROCESS(S,CLR,EN1)
BEGIN
IF CLR='1'THEN EN1<='0';
ELSIF S'EVENT AND S='1'THEN
EN1<='1';
END IF;
END PROCESS;
PROCESS(CLK,EN1,CLR)
BEGIN
IF CLR='1'THEN Q<="0000";EN<='0';
ELSIF CLK'EVENT AND CLK='1'THEN IF( Q="1000" AND TW='1')THEN EN<=EN1;Q<="0000";
ELSE Q<=Q+1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKK,DZA,SJ)
BEGIN
IF CLKK'EVENT AND CLKK='1'THEN DZZ<=DZA;P00<=SJ;
END IF;
END PROCESS;
DZ<=DZZ WHEN D ='1'ELSE
    "ZZZZZZZZZZZ";
P0<=P00 WHEN S ='1'ELSE
    "ZZZZZZZZ";
END;
UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU 




library IEEE;  ;發送數據地址模塊。
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

--  Uncomment the following lines to use the declarations that are
--  provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;

entity IDT7132B is          
    Port ( CLK : in std_logic;
           M : in std_logic;
           CLR : in std_logic;
           T: out std_logic;
           TW : out std_logic;
           DZA : out std_logic_vector(10 downto 0);
           SJ : out std_logic_vector(7 downto 0));
end IDT7132B;

architecture Behavioral of IDT7132B is
signal Q:STD_LOGIC_VECTOR(3 DOWNTO 0);
type  qing is (st0,st1,st2,st3,st4);
signal tt:std_logic;
signal ur1,ur2:qing;
signal w,clm:std_logic;
begin
process(clk,clr,m)
begin
if clr='1'then w<='1';ur2<=st0;tt<='0';clm<='0';
elsif clk'event and clk='1'then 
case ur1 is
when st0=>if m='1'then ur2<=st1;else ur2<=st0;end if;
when st1=>if w='1'then ur2<=st2;else ur2<=st1;end if;
when st2=>clm<=not clm;ur2<=st3;
when st3=>tt<='1';ur2<=st4;
when st4=>if q="1001"then w<='0';tt<='0';ur2<=st1;else ur2<=st2;tt<='0';end if;
when others=>ur2<=st0;
end case;
end if;
end process;
process(clm,clr)
begin
if clr='1'then q<="0000";
elsif clm'event and clm='1'then q<=q+1;
end if;
end process;
process(tt,q,clr)
begin
if clr='1'then tw<='0';DZA<="00000000000";SJ<="00000000";
elsif tt'event and tt='1'then
case q is
when "0000"=>DZA<="00000000000";SJ<="00000000";
when "0001"=>DZA<="00000010010";SJ<="00001000";
when "0010"=>DZA<="00000010011";SJ<="00000111";
when "0011"=>DZA<="00000010100";SJ<="00000110";
when "0100"=>DZA<="00000010101";SJ<="00000101";
when "0101"=>DZA<="00000010110";SJ<="00000100";
when "0110"=>DZA<="00000010111";SJ<="00000011";
when "0111"=>DZA<="00000011000";SJ<="00000010";
when "1000"=>DZA<="00000011001";SJ<="00000001";
when "1001"=>DZA<="00000011001";SJ<="00001000";tw<='1';
when others=>null;
end case;
end if;
end process;
t<=tt;
process(clk)
begin
if clk'event and clk='1'then
ur1<=ur2;
end if;
end process;
end Behavior

UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUU



library IEEE;  ;用于連接的連接模塊。
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

--  Uncomment the following lines to use the declarations that are
--  provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;

entity IDT7132D is
    Port ( CLK,CLR,M : in std_logic;
           EN,WR,RD : out std_logic;
           P0 : out std_logic_vector(7 downto 0);
           DZ : out std_logic_vector(10 downto 0));
end IDT7132D;

architecture Behavioral of IDT7132D is
COMPONENT idt7132a
	PORT(
		CLK : IN std_logic;
		CLR : IN std_logic;
		M : IN std_logic;
		TW : IN std_logic;
		CLKK : IN std_logic;
		DZA : IN std_logic_vector(10 downto 0);
		SJ : IN std_logic_vector(7 downto 0);          
		P0 : OUT std_logic_vector(7 downto 0);
		DZ : OUT std_logic_vector(10 downto 0);
		EN : OUT std_logic;
		WR : OUT std_logic;
		RD : OUT std_logic
		);
	END COMPONENT;
 
	COMPONENT idt7132b
	PORT(
		CLK : IN std_logic;
		M : IN std_logic;
		CLR : IN std_logic;          
		T : OUT std_logic;
		TW : OUT std_logic;
		DZA : OUT std_logic_vector(10 downto 0);
		SJ : OUT std_logic_vector(7 downto 0)
		);
	END COMPONENT;
SIGNAL DD: STD_LOGIC_VECTOR(10 DOWNTO 0);
SIGNAL T1,TW1: STD_LOGIC;
SIGNAL SS: STD_LOGIC_VECTOR(7 DOWNTO 0);

begin
Inst_idt7132a: idt7132a PORT MAP(
		CLK => CLK,
		CLR => CLR,
		M => M,
		DZA =>DD ,
		CLKK=>T1,
		TW=>TW1,
		SJ => SS,
		P0 => P0,
		DZ => DZ,
		EN => EN,
		WR => WR,
		RD => RD  );
Inst_idt7132b: idt7132b PORT MAP(
		CLK => CLK,
		M => M,
		CLR =>CLR ,
		T =>T1 ,
		TW => TW1,
		DZA => DD,
		SJ => SS );

end Behavioral;

IIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIII



?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
日韩免费看的电影| 91精品国产综合久久精品性色| 日韩欧美中文一区| 麻豆高清免费国产一区| 精品国产免费视频| 91福利视频久久久久| 国产一区二区在线观看免费| 色婷婷综合久久久久中文| 久久久亚洲精华液精华液精华液 | 亚洲图片欧美色图| 中文字幕一区二区三区四区| 亚洲成人av一区| 国产精品中文字幕一区二区三区| 欧美日韩电影一区| 久久久欧美精品sm网站| 中文无字幕一区二区三区| 中文字幕一区二区三区四区| 亚洲欧美二区三区| 激情图片小说一区| 成人开心网精品视频| 91丝袜高跟美女视频| 欧美日本一区二区在线观看| 欧美成人一区二区三区在线观看| 欧美电视剧免费全集观看| 精品国产一区二区精华| 亚洲国产成人在线| 一区二区三区日韩精品视频| 日日夜夜精品视频天天综合网| 国产美女精品人人做人人爽| 色素色在线综合| 久久久天堂av| 国产一区二区毛片| 日韩精品一区二区三区三区免费| 中文字幕综合网| 欧美日本韩国一区二区三区视频| 久久噜噜亚洲综合| 国产精品国产三级国产aⅴ入口| 久久色在线观看| 婷婷激情综合网| 日韩欧美高清在线| 欧美视频在线播放| 国产精品系列在线观看| 在线观看视频一区二区欧美日韩| 精品亚洲免费视频| 亚洲专区一二三| 国产亚洲精品久| 日韩一卡二卡三卡四卡| 色悠久久久久综合欧美99| 国产美女在线精品| 亚洲人成人一区二区在线观看| 成人av资源站| 亚洲欧美日韩国产一区二区三区| 成年人国产精品| 五月婷婷另类国产| 欧美日韩免费高清一区色橹橹| 国产精品成人一区二区艾草 | 欧美三级在线播放| 亚洲.国产.中文慕字在线| 国产校园另类小说区| 日韩欧美在线影院| 日韩欧美一区二区在线视频| 日韩精品一区国产麻豆| 三级亚洲高清视频| 亚洲一区二区三区影院| 日韩一区欧美一区| 亚洲欧洲日韩一区二区三区| 欧美激情一区二区三区不卡 | 欧美日韩高清一区| 欧美专区亚洲专区| 在线观看视频一区二区| 在线观看亚洲精品| 在线看国产一区二区| 91麻豆国产在线观看| 成人av在线播放网站| 国产成人精品免费网站| 国产麻豆成人精品| 国产·精品毛片| 成人免费视频免费观看| 成人高清视频在线观看| 成人av动漫在线| 国产.欧美.日韩| 国产很黄免费观看久久| 国产伦精品一区二区三区免费迷| 韩国精品主播一区二区在线观看 | 欧美本精品男人aⅴ天堂| 欧美日本国产一区| 欧美一区永久视频免费观看| 欧美精品一二三| 日韩欧美在线网站| 久久美女高清视频| 中文字幕一区二区三区在线播放| 中文字幕一区二区5566日韩| 蜜臀av性久久久久蜜臀aⅴ流畅| 午夜精品久久久久久| 麻豆精品一区二区三区| 久草在线在线精品观看| 国产精品系列在线观看| 97se亚洲国产综合自在线| 日本久久一区二区三区| 欧美肥妇free| 久久这里只有精品视频网| 国产精品无码永久免费888| 亚洲免费观看高清完整版在线观看熊 | 国产精品一区二区免费不卡| 成人激情午夜影院| 在线观看亚洲成人| 日韩精品一区二区三区视频在线观看 | 欧美成人a视频| 国产精品久久久久久久裸模 | 久久久亚洲午夜电影| 中文字幕日韩欧美一区二区三区| 一区二区三区在线影院| 日本强好片久久久久久aaa| 国产麻豆精品视频| 欧美影视一区二区三区| 欧美电视剧在线观看完整版| 国产精品毛片久久久久久| 中文字幕一区二区三区av| 日本欧洲一区二区| 成av人片一区二区| 91超碰这里只有精品国产| 久久久久国产精品麻豆ai换脸| 中文字幕成人在线观看| 亚洲欧美成aⅴ人在线观看| 久久久久久久久97黄色工厂| 在线免费视频一区二区| 日韩理论在线观看| 欧美一级爆毛片| 国产精品国产三级国产普通话蜜臀| 久久福利资源站| 91一区二区在线观看| 日韩免费高清av| 色综合色综合色综合色综合色综合 | 日韩视频一区二区| 精品国产一二三| 日韩在线观看一区二区| 国产不卡在线视频| 2024国产精品| 日韩电影一区二区三区| 色视频成人在线观看免| 国产欧美日本一区视频| 日韩电影免费一区| 一本大道av伊人久久综合| 亚洲国产成人私人影院tom| 久久99久久久欧美国产| 欧美男人的天堂一二区| 午夜精品久久久久久久久| 欧美亚洲国产一区二区三区va| 久久众筹精品私拍模特| 免费成人性网站| 91精品国产综合久久精品麻豆 | 69成人精品免费视频| 亚洲欧洲成人自拍| 亚洲不卡av一区二区三区| 99re在线精品| 亚洲一区二区三区四区五区黄| av亚洲产国偷v产偷v自拍| 精品一区二区在线视频| av激情亚洲男人天堂| 欧美唯美清纯偷拍| 日本在线不卡一区| 欧美日韩国产另类一区| 亚洲一区二区三区爽爽爽爽爽| 成人激情电影免费在线观看| 久久嫩草精品久久久久| 国内精品久久久久影院一蜜桃| 欧美成人a∨高清免费观看| 日韩国产成人精品| 91精品国产综合久久婷婷香蕉 | 久久综合色8888| 波多野结衣精品在线| 亚洲成人在线网站| 亚洲综合精品久久| 欧美一区二区三区爱爱| 国产成人免费视频一区| 国产一区福利在线| 五月综合激情婷婷六月色窝| 国产乱码精品一区二区三区av | 天堂蜜桃91精品| 91精品国产入口| 久热成人在线视频| 欧美tickling挠脚心丨vk| 精品影视av免费| 国产精品网友自拍| 91在线观看污| 亚洲一区二区欧美| 日韩一级大片在线观看| 国产酒店精品激情| 一区免费观看视频| 欧美精品在线观看一区二区| 久久精品国产99久久6| 久久看人人爽人人| 色哟哟精品一区| 美腿丝袜亚洲色图| 国产女主播视频一区二区| 日本精品一区二区三区高清| 午夜精品久久久久久久99樱桃| 欧美成人bangbros| 99精品视频一区二区三区| 午夜精品福利一区二区三区av|