?? leijiaqi.vhd
字號:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_signed.all;
entity leijiaqi is
port(clk:in std_logic;
y:out std_logic);
end;
architecture main of leijiaqi is
signal count : std_logic_vector(29 downto 0):="000000000000000000000000000000";
constant step : integer := 127918701;
begin
p1: process(clk)
begin
if rising_edge(clk) then
count<=count+step;
end if;
end process;
y<=count(29);
end;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -