?? ram_model.vhd
字號:
--/*************************************************************************
-- **
-- ** Module: ycrcb2rgb
-- **
-- ** Instantiated ROM:
-- ***************************************************************************/
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library virtex;
use virtex.components.all;
library synplify;
use synplify.attributes.all;
entity ycrcb2rgb is
port (Y,Cr,Cb : in std_logic_vector(9 downto 0);
clk,rst : in std_logic;
R,G,B : out std_logic_vector(11 downto 0));
end ycrcb2rgb ;
architecture rommodel of ycrcb2rgb is
-- Signal Declarations:
signal logic1, logic0 : std_logic;
signal data_out1,data_out2,data_out3,data_out4,data_out5: std_logic_vector(15 downto 0);
signal dop1,dop2,dop3,dop4,dop5: std_logic_vector(1 downto 0);
signal R_int1,G_int1,B_int1: std_logic_vector(15 downto 0);
signal R_carry, G_carry, B_carry: std_logic;
component ADSU16
port(
A, B : in std_logic_vector (15 downto 0);
CI, ADD : in std_logic;
S : out std_logic_vector (15 downto 0);
OFL, CO : out std_logic);
end component;
component RAMB16_S18
port(
DI : in std_logic_vector (15 downto 0);
DIP : in std_logic_vector (1 downto 0);
ADDR : in std_logic_vector (9 downto 0);
WE,EN,SSR,CLK : in std_logic;
DO : out std_logic_vector (15 downto 0);
DOP : out std_logic_vector (1 downto 0));
end component;
-- Attribute Decalrations:
attribute INIT_00: string;
attribute INIT_01: string;
attribute INIT_02: string;
attribute INIT_03: string;
attribute INIT_04: string;
attribute INIT_05: string;
attribute INIT_06: string;
attribute INIT_07: string;
attribute INIT_08: string;
attribute INIT_09: string;
attribute INIT_0A: string;
attribute INIT_0B: string;
attribute INIT_0C: string;
attribute INIT_0D: string;
attribute INIT_0E: string;
attribute INIT_0F: string;
attribute INIT_10: string;
attribute INIT_11: string;
attribute INIT_12: string;
attribute INIT_13: string;
attribute INIT_14: string;
attribute INIT_15: string;
attribute INIT_16: string;
attribute INIT_17: string;
attribute INIT_18: string;
attribute INIT_19: string;
attribute INIT_1A: string;
attribute INIT_1B: string;
attribute INIT_1C: string;
attribute INIT_1D: string;
attribute INIT_1E: string;
attribute INIT_1F: string;
attribute INIT_20: string;
attribute INIT_21: string;
attribute INIT_22: string;
attribute INIT_23: string;
attribute INIT_24: string;
attribute INIT_25: string;
attribute INIT_26: string;
attribute INIT_27: string;
attribute INIT_28: string;
attribute INIT_29: string;
attribute INIT_2A: string;
attribute INIT_2B: string;
attribute INIT_2C: string;
attribute INIT_2D: string;
attribute INIT_2E: string;
attribute INIT_2F: string;
attribute INIT_30: string;
attribute INIT_31: string;
attribute INIT_32: string;
attribute INIT_33: string;
attribute INIT_34: string;
attribute INIT_35: string;
attribute INIT_36: string;
attribute INIT_37: string;
attribute INIT_38: string;
attribute INIT_39: string;
attribute INIT_3A: string;
attribute INIT_3B: string;
attribute INIT_3C: string;
attribute INIT_3D: string;
attribute INIT_3E: string;
attribute INIT_3F: string;
attribute INIT_00 of RAM1: label is
"fffffffefffdfffbfffafff9fff8fff7fff6fff4fff3fff2fff1fff0ffefffed";
attribute INIT_01 of RAM1: label is
"00110010000f000e000d000c000a000900080007000600050003000200010000";
attribute INIT_02 of RAM1: label is
"0024002300220021001f001e001d001c001b001a001800170016001500140013";
attribute INIT_03 of RAM1: label is
"0037003600340033003200310030002f002d002c002b002a0029002800260025";
attribute INIT_04 of RAM1: label is
"004900480047004600450044004200410040003f003e003d003b003a00390038";
attribute INIT_05 of RAM1: label is
"005c005b005a00580057005600550054005300510050004f004e004d004c004a";
attribute INIT_06 of RAM1: label is
"006f006d006c006b006a00690068006600650064006300620061005f005e005d";
attribute INIT_07 of RAM1: label is
"00810080007f007e007d007b007a007900780077007600740073007200710070";
attribute INIT_08 of RAM1: label is
"0094009300920090008f008e008d008c008b0089008800870086008500840082";
attribute INIT_09 of RAM1: label is
"00a600a500a400a300a200a1009f009e009d009c009b009a0098009700960095";
attribute INIT_0A of RAM1: label is
"00b900b800b700b600b400b300b200b100b000af00ad00ac00ab00aa00a900a8";
attribute INIT_0B of RAM1: label is
"00cc00cb00c900c800c700c600c500c400c200c100c000bf00be00bd00bb00ba";
attribute INIT_0C of RAM1: label is
"00de00dd00dc00db00da00d900d700d600d500d400d300d200d000cf00ce00cd";
attribute INIT_0D of RAM1: label is
"00f100f000ef00ed00ec00eb00ea00e900e800e600e500e400e300e200e100df";
attribute INIT_0E of RAM1: label is
"010401020101010000ff00fe00fd00fb00fa00f900f800f700f600f400f300f2";
attribute INIT_0F of RAM1: label is
"011601150114011301120110010f010e010d010c010b01090108010701060105";
attribute INIT_10 of RAM1: label is
"012901280126012501240123012201210120011e011d011c011b011a01190117";
attribute INIT_11 of RAM1: label is
"013b013a013901380137013601340133013201310130012f012d012c012b012a";
attribute INIT_12 of RAM1: label is
"014e014d014c014b014901480147014601450144014201410140013f013e013d";
attribute INIT_13 of RAM1: label is
"01610160015e015d015c015b015a01590157015601550154015301520150014f";
attribute INIT_14 of RAM1: label is
"0173017201710170016f016d016c016b016a0169016801670165016401630162";
attribute INIT_15 of RAM1: label is
"018601850184018201810180017f017e017d017b017a01790178017701760174";
attribute INIT_16 of RAM1: label is
"01990197019601950194019301920190018f018e018d018c018b018901880187";
attribute INIT_17 of RAM1: label is
"01ab01aa01a901a801a701a501a401a301a201a101a0019e019d019c019b019a";
attribute INIT_18 of RAM1: label is
"01be01bd01bb01ba01b901b801b701b601b501b301b201b101b001af01ae01ac";
attribute INIT_19 of RAM1: label is
"01d001cf01ce01cd01cc01cb01c901c801c701c601c501c401c201c101c001bf";
attribute INIT_1A of RAM1: label is
"01e301e201e101e001de01dd01dc01db01da01d901d701d601d501d401d301d2";
attribute INIT_1B of RAM1: label is
"01f601f501f301f201f101f001ef01ee01ec01eb01ea01e901e801e701e501e4";
attribute INIT_1C of RAM1: label is
"0208020702060205020402020201020001ff01fe01fd01fc01fa01f901f801f7";
attribute INIT_1D of RAM1: label is
"021b021a02190217021602150214021302120210020f020e020d020c020b0209";
attribute INIT_1E of RAM1: label is
"022e022c022b022a022902280227022502240223022202210220021e021d021c";
attribute INIT_1F of RAM1: label is
"0240023f023e023d023c023a023902380237023602350233023202310230022f";
attribute INIT_20 of RAM1: label is
"025302520250024f024e024d024c024b02490248024702460245024402430241";
attribute INIT_21 of RAM1: label is
"026502640263026202610260025e025d025c025b025a02590257025602550254";
attribute INIT_22 of RAM1: label is
"02780277027602750273027202710270026f026e026c026b026a026902680267";
attribute INIT_23 of RAM1: label is
"028b028a02880287028602850284028302810280027f027e027d027c027a0279";
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -