亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? lockdown.vhd

?? nand flash NAND01GR3B (st)的仿真模型 (VHDL) 的
?? VHD
字號:
--   --           _/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/--         _/                   _/  ____________________________________________ --         _/                  _/  /                                           / --         _/_/               _/  /                                NAND01GR3B / --          _/_/_/           _/  /                                           /  --             _/_/         _/  /                                     1Gbit / --              _/_/       _/  /        8 bit, 2112 Byte Page, 1.8 V, NAND / --                _/      _/  /                                           / --                _/     _/  /                     VHDL Behavioral Model / --                _/    _/  /                               Version 3.0 / --             _/_/    _/  /                                           /--          _/_/_/    _/  /     Copyright (c) 2006 STMicroelectronics / --        _/_/_/     _/  /___________________________________________/ --  _/_/_/_/_/      _/    --  ----------------------------------------------------------------------------------------------                     STIMULI OPERATIONS FOR NANDxB--              --                            --                                                          ST Microelectronics------------------------------------------------------------------------------------------------------------------------------  LIBRARIES ------------------------------------------------------LIBRARY IEEE;Use  IEEE.std_logic_1164.all;LIBRARY work;Use work.data.all;Use work.TimingData.all;Use work.UserData.all;use ieee.std_logic_arith.all;-------------------------------------- ENTITY DECLARATION --------------------------------------------Entity Stimuli isport      (      I_O : out IObus_type;      E_N, R_N, W_N, WP_N, PRL : out std_logic;      AL, CL: out std_logic;      RB_N  : in   std_logic;      Vss, Vdd: out real     );End Stimuli;--------------------------------------------------------------------------------------------------------------- ARCHITECTURE -------------------------------------------------------------------------------------------------------------------------------Architecture behavior of Stimuli is-- signals for read signal generation signal CK : std_logic := '1';signal read_cycle : boolean := false;-- signals for address inputsubtype hex_address is std_logic_vector(31 downto 0);constant zero : std_logic_vector(7 downto 0) := (others => '0');constant high_imp : IObus_type := (others => 'Z');-- read signal periodconstant PERIOD: time:= 60 ns; --constant patt_len : integer := 20;begin-- Read signal generator---- When read_cycle=true R_N toggle with T=PERIOD, starting---- with 1 value; when read_cycle goes down R_N goes immediately---- to 1RN_toggle: process(CK, read_cycle) begin   if read_cycle then      CK <= not CK after PERIOD/2;   else      CK <= '1';   end if;end process;R_N <= CK ;---------------------------------  Stimuli generator ----------------------------------------Main : process-- variables for address inputvariable A1, A2, A3, A4, A5: IObus_type;------------------------------------- Procedures used in the process------------------------------------- init deviceprocedure init isbegin  if (CD.Vddmin_dev=Vddmin_R) then      Vdd <= 1.8;  elsif (CD.Vddmin_dev=Vddmin_W) then   Vdd <= 3.0;   end if;  E_N <='1';  W_N <='1'; AL <='0'; CL <='0';   WP_N <='1'; PRL <='1';  I_O <= high_imp;    wait for 5000 ns;  E_N <='0';  wait for 100 ns;end;        -- command inputprocedure insert_command (D: in IObus_type_ext) isvariable D_int : IObus_type := D(IObus_range); begin   I_O <= (others => 'Z'); wait for 5 ns;   CL<='1'; W_N<='0';   I_O <= D_int; wait for 25 ns;          W_N<='1'; wait for 10 ns;           CL<='0'; wait for 10 ns;   I_O <= (others => 'Z'); wait for 10 ns;end;-- data input cycleprocedure insert_data (D: in IObus_type_ext) isvariable D_int : IObus_type := D(IObus_range);begin   I_O <= (others => 'Z'); wait for 5 ns;   CL<='0'; W_N<='0';     I_O <= D_int ; wait for 25 ns;                      W_N<='1'; wait for 10 ns;                      I_O <= (others => 'Z'); wait for 10 ns;  end;-- incremental data pattern input procedure insert_pattern(length : in integer) isbegin   for k in 1 to length loop           I_O <= (others => 'Z'); wait for 10 ns;           CL<='0'; W_N<='0';             I_O <= conv_std_logic_vector(k,IOBusWidth) ; wait for 30 ns;                              W_N<='1'; wait for 20 ns;                      end loop ;    I_O <= (others => 'Z'); wait for 10 ns;end;-- address input cycles procedure insert_address (A: in hex_address) isbegin      if (CD.bus_dev=bus8) then       A1 := A(7 downto 0);       A2 := "0000" & A(11 downto 8);       A3 := A(19 downto 12);       if (CD.size_dev=s_512M) then     A4 := '0' & A(26 downto 20);              elsif (CD.size_dev=s_1G) then    A4 := A(27 downto 20);                     elsif (CD.size_dev=s_2G) then    A4 := A(27 downto 20);      A5 := "0000000" & A(28);       elsif (CD.size_dev=s_4G) then    A4 := A(27 downto 20);      A5 := "000000" & A(29 downto 28);       elsif (CD.size_dev=s_8G) then    A4 := A(27 downto 20);      A5 := "00000" & A(30 downto 28);       end if;          elsif (CD.bus_dev=bus16) then       A1 := zero &  A(7 downto 0);       A2 := zero & "00000" & A(10 downto 8);       A3 := zero & A(18 downto 11);       if (CD.size_dev=s_512M) then     A4 := zero & '0' & A(25 downto 19);               elsif (CD.size_dev=s_1G) then    A4 := zero & A(26 downto 19);                     elsif (CD.size_dev=s_2G) then    A4 := zero & A(26 downto 19);      A5 := zero & "0000000" & A(27);       elsif (CD.size_dev=s_4G) then    A4 := zero & A(26 downto 19);      A5 := zero & "000000" & A(28 downto 27);       elsif (CD.size_dev=s_8G) then    A4 := zero & A(26 downto 19);      A5 := zero & "00000" & A(29 downto 27);       end if;   end if;         CL <='0'; AL <='1'; wait for 5 ns;   I_O <= high_imp; wait for 5 ns;                              I_O <= A1; wait for 25 ns;   W_N<='0'; wait for 25 ns; W_N<='1'; wait for 10 ns;                   I_O <= A2; wait for 25 ns;    W_N<='0'; wait for 25 ns; W_N<='1'; wait for 10 ns;                              I_O <= A3; wait for 25 ns;   W_N<='0'; wait for 25 ns; W_N<='1'; wait for 10 ns;   I_O <= A4; wait for 25 ns;   W_N<='0'; wait for 25 ns; W_N<='1'; wait for 10 ns;   if (CD.size_dev >= s_2G) then       I_O <= A5; wait for 25 ns;       W_N<='0'; wait for 25 ns; W_N<='1'; wait for 10 ns;   end if;           I_O <= high_imp; wait for 15 ns;    AL<='0'; wait for 5 ns;       end; -- two address cycle (column address)  procedure insert_colAddress (A: in hex_address) isbegin   if (CD.bus_dev=bus8) then       A1 := A(7 downto 0);       A2 := "0000" & A(11 downto 8);   elsif (CD.bus_dev=bus16) then       A1 := zero & A(7 downto 0);       A2 := zero & "00000" & A(10 downto 8);   end if;      CL <='0'; AL <='1'; wait for 5 ns;   I_O <= high_imp; wait for 5 ns;                              I_O <= A1; wait for 25 ns;   W_N<='0'; wait for 25 ns; W_N<='1'; wait for 10 ns;                   I_O <= A2; wait for 25 ns;    W_N<='0'; wait for 25 ns; W_N<='1'; wait for 10 ns;   I_O <= high_imp; wait for 15 ns;    AL<='0'; wait for 5 ns;end; -- insert block address  procedure insert_blockAddress (A: in hex_address) isbegin   if (CD.bus_dev=bus8) then       A3 := A(19 downto 12);       if (CD.size_dev=s_512M) then     A4 := '0' & A(26 downto 20);              elsif (CD.size_dev=s_1G) then    A4 := A(27 downto 20);                     elsif (CD.size_dev=s_2G) then    A4 := A(27 downto 20);      A5 := "0000000" & A(28);       elsif (CD.size_dev=s_4G) then    A4 := A(27 downto 20);      A5 := "000000" & A(29 downto 28);       elsif (CD.size_dev=s_8G) then    A4 := A(27 downto 20);      A5 := "00000" & A(30 downto 28);       end if;          elsif (CD.bus_dev=bus16) then       A3 := zero & A(18 downto 11);       if (CD.size_dev=s_512M) then     A4 := zero & '0' & A(25 downto 19);               elsif (CD.size_dev=s_1G) then    A4 := zero & A(26 downto 19);                     elsif (CD.size_dev=s_2G) then    A4 := zero & A(26 downto 19);      A5 := zero & "0000000" & A(27);       elsif (CD.size_dev=s_4G) then    A4 := zero & A(26 downto 19);      A5 := zero & "000000" & A(28 downto 27);       elsif (CD.size_dev=s_8G) then    A4 := zero & A(26 downto 19);      A5 := zero & "00000" & A(29 downto 27);       end if;   end if;   CL <='0'; AL <='1'; wait for 5 ns;   I_O <= high_imp; wait for 5 ns;                              I_O <= A3; wait for 25 ns;   W_N<='0'; wait for 25 ns; W_N<='1'; wait for 10 ns;                   I_O <= A4; wait for 25 ns;    W_N<='0'; wait for 25 ns; W_N<='1'; wait for 10 ns;   if (CD.size_dev >= s_2G) then       I_O <= A5; wait for 25 ns;       W_N<='0'; wait for 25 ns; W_N<='1'; wait for 10 ns;   end if;   I_O <= high_imp; wait for 15 ns;    AL<='0'; wait for 5 ns;end;   -- bus read operation (n = number of memory location to be read)procedure BusRead_op (n : in integer)  isbegin   read_cycle <= true;   wait for n*PERIOD ;       read_cycle <= false;   wait for 100 ns;end;-- end stimuliprocedure end_stim isbegin   E_N<='1';   wait for 1000 ns;   assert (false) report "End Of Stimuli" severity(warning);   wait;end;-- address to be programmedvariable addr1, addr2, addr3 : hex_address ; -- block 0 / last page----------------------------------------------- Begin process for stimuli generation--------------------------------------------begin  init;addr1 := x"032003F0";addr2 := x"033004F0";addr3 := x"00000000"; -- block locked and locked down-- unlock block intervalinsert_command(x"0023");insert_blockAddress(addr1);insert_command(x"0024");insert_blockAddress(addr2);-- lock down command (lock down all locked blocks)insert_command(x"002C");-- read block lock status of unlocked-down blocks (expected status = 0005)insert_command(x"007A");insert_blockAddress(addr1);BusRead_op(1);insert_command(x"007A");insert_blockAddress(addr2);BusRead_op(1);-- read block lock status of locked-down block (expected status = 0001)insert_command(x"007A");insert_blockAddress(addr3);BusRead_op(1);-- try to erase locked-down blockinsert_command(x"0060");insert_blockAddress(addr3);insert_command(x"00D0");-- try to erase unlocked-down block insert_command(x"0060");insert_blockAddress(addr1);insert_command(x"00D0");wait for erase_time;-- try to unlock locked-down blockinsert_command(x"0023");insert_blockAddress(addr3);insert_command(x"0024");insert_blockAddress(addr3);-- try to lock all blocksinsert_command(x"002A");-- exit from lock down state : WP_N low > 100 nsWP_N <= '0'; wait for 150 ns; WP_N <= '1'; wait for 50 ns;-- read block lock status of ex unlocked-down block, now locked (expected status = 0002)insert_command(x"007A");insert_blockAddress(addr1);BusRead_op(1);-- end stimuliend_stim;end process;end behavior;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
卡一卡二国产精品| 亚洲精品乱码久久久久久久久| 亚洲国产精品视频| 欧美三级视频在线| 日本不卡123| 精品国产一区久久| 国产成人av影院| 国产精品久久久久久久久快鸭| www久久精品| 国内偷窥港台综合视频在线播放| 26uuu精品一区二区三区四区在线| 黑人巨大精品欧美一区| 亚洲国产精品成人综合色在线婷婷 | 国产日韩欧美电影| 成人黄色软件下载| 亚洲一区二区三区四区在线免费观看| 欧美日韩一区二区欧美激情| 免播放器亚洲一区| 日本一区二区三区国色天香| 在线视频观看一区| 久久国产福利国产秒拍| 中文字幕在线播放不卡一区| 欧美性videosxxxxx| 九九热在线视频观看这里只有精品| 久久久www成人免费毛片麻豆 | 中文字幕在线一区| 欧美日本在线看| 国产精品自拍毛片| 亚洲一卡二卡三卡四卡五卡| 欧美成va人片在线观看| 99精品偷自拍| 免费成人av在线| 1024亚洲合集| 精品99999| 在线观看av一区二区| 韩日av一区二区| 一区二区三区蜜桃网| 精品91自产拍在线观看一区| 欧美影院一区二区三区| 国产精品一区在线观看你懂的| 亚洲国产日韩精品| 国产精品视频一二三| 91精品国产综合久久久蜜臀图片| 国产不卡视频一区| 麻豆精品视频在线观看免费| 亚洲人成7777| 久久综合色8888| 91 com成人网| 在线亚洲一区二区| 国产成人免费av在线| 日韩高清在线观看| 亚洲精品免费播放| 国产精品日韩成人| 久久综合色综合88| 欧美疯狂性受xxxxx喷水图片| 成a人片亚洲日本久久| 精品综合久久久久久8888| 亚洲综合丁香婷婷六月香| 国产精品久线观看视频| 国产欧美一区二区在线观看| 欧美成人激情免费网| 欧美人狂配大交3d怪物一区| 91丨porny丨国产| 不卡电影一区二区三区| 国产成人在线视频网址| 韩国一区二区视频| 精品一区二区综合| 久久国产精品99久久久久久老狼| 午夜精品影院在线观看| 亚洲高清视频在线| 亚洲一级片在线观看| 一区二区三区免费看视频| 亚洲欧美偷拍三级| 综合自拍亚洲综合图不卡区| 国产精品黄色在线观看| 中文字幕第一区第二区| 国产肉丝袜一区二区| 久久精品夜夜夜夜久久| 国产午夜精品福利| 国产欧美一区二区三区沐欲| 久久精品男人天堂av| 26uuu精品一区二区在线观看| 精品国产凹凸成av人导航| 精品国产乱码久久久久久浪潮| 欧美不卡一区二区三区| www激情久久| 国产欧美一区二区三区在线老狼| 中文字幕成人网| 国产精品传媒入口麻豆| 亚洲人被黑人高潮完整版| 亚洲激情中文1区| 亚洲亚洲人成综合网络| 日欧美一区二区| 激情深爱一区二区| 国产一区二区三区黄视频 | 麻豆免费精品视频| 国产在线看一区| 成人免费视频播放| 色呦呦网站一区| 6080yy午夜一二三区久久| 精品少妇一区二区三区日产乱码| 久久夜色精品国产噜噜av| 国产精品成人网| 亚洲不卡av一区二区三区| 久久精品国产亚洲一区二区三区| 国产精品888| 在线观看日产精品| 日韩精品一区国产麻豆| 国产精品美女www爽爽爽| 亚洲国产综合色| 激情小说亚洲一区| 91蜜桃在线观看| 日韩欧美另类在线| 中文字幕佐山爱一区二区免费| 五月婷婷综合网| 成人性视频免费网站| 欧美久久久久久久久久| 中文字幕第一区第二区| 视频一区二区不卡| 成人三级在线视频| 69堂精品视频| 国产精品国产三级国产三级人妇| 亚洲3atv精品一区二区三区| 精东粉嫩av免费一区二区三区| 97se亚洲国产综合自在线观| 91精品国产色综合久久| 国产精品久线在线观看| 蜜臀久久久久久久| 91丝袜美腿高跟国产极品老师 | 在线免费亚洲电影| 久久精品日产第一区二区三区高清版 | 亚洲高清视频在线| 成人网在线播放| 欧美va在线播放| 亚洲高清一区二区三区| 99久久综合狠狠综合久久| 日韩欧美国产wwwww| 亚洲国产成人高清精品| youjizz久久| 久久精品无码一区二区三区| 奇米精品一区二区三区在线观看一| 不卡影院免费观看| 精品粉嫩超白一线天av| 五月天丁香久久| 欧美中文字幕亚洲一区二区va在线| 久久精品夜色噜噜亚洲a∨| 免费在线一区观看| 欧美丰满少妇xxxxx高潮对白| 亚洲裸体xxx| 久久精品理论片| 色综合视频在线观看| 精品国产免费久久| 午夜国产不卡在线观看视频| 日本道色综合久久| 国产精品久久久99| 成人午夜av电影| 国产色一区二区| 国产精品66部| 中文字幕免费在线观看视频一区| 国产综合色在线| 精品国产1区2区3区| 久久精品国产精品青草| 日韩精品一区二区三区swag| 日韩不卡一二三区| 欧美一区二区三区思思人| 午夜不卡av在线| 欧美高清激情brazzers| 日韩综合小视频| 91精品国产麻豆| 青椒成人免费视频| 欧美大度的电影原声| 美女脱光内衣内裤视频久久网站| 日韩理论片中文av| eeuss鲁一区二区三区| 久久久久久免费网| 国产一区二区剧情av在线| 久久久久久亚洲综合| 国产老妇另类xxxxx| 国产校园另类小说区| 从欧美一区二区三区| 国产精品嫩草影院com| 91小视频免费观看| 亚洲国产美女搞黄色| 911精品国产一区二区在线| 日本伊人精品一区二区三区观看方式| 日韩午夜在线播放| 国产夫妻精品视频| 日韩久久一区二区| 9191国产精品| 国产另类ts人妖一区二区| 中文字幕在线一区二区三区| 在线观看三级视频欧美| 午夜精品一区二区三区免费视频| 日韩欧美高清dvd碟片| 丰满白嫩尤物一区二区| 亚洲已满18点击进入久久| 欧美一区二区三区免费在线看| 国产成人亚洲综合色影视| 亚洲猫色日本管| 337p粉嫩大胆色噜噜噜噜亚洲|