?? conter8.vhd
字號:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
ENTITY conter8 IS
PORT(CLK,RST,EN : IN STD_LOGIC;
CQ : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
COUT : OUT STD_LOGIC );
END conter8;
ARCHITECTURE BEHAV OF conter8 IS
BEGIN
PROCESS(CLK, RST, EN)
VARIABLE CQI1 : STD_LOGIC_VECTOR(3 DOWNTO 0);
VARIABLE CQI2 : STD_LOGIC_VECTOR(3 DOWNTO 0);
VARIABLE CQI3 : STD_LOGIC_VECTOR(3 DOWNTO 0);
VARIABLE CQI4 : STD_LOGIC_VECTOR(3 DOWNTO 0);
VARIABLE CQI5 : STD_LOGIC_VECTOR(3 DOWNTO 0);
VARIABLE CQI6 : STD_LOGIC_VECTOR(3 DOWNTO 0);
VARIABLE CQI7 : STD_LOGIC_VECTOR(3 DOWNTO 0);
VARIABLE CQI8 : STD_LOGIC_VECTOR(3 DOWNTO 0);
BEGIN
IF RST = '1' THEN CQI1 := (OTHERS =>'0');CQI2 := (OTHERS =>'0');CQI3 := (OTHERS =>'0');CQI4 := (OTHERS =>'0');CQI5 := (OTHERS =>'0');CQI6 := (OTHERS =>'0');CQI7 := (OTHERS =>'0');CQI8 := (OTHERS =>'0');
ELSIF CLK'EVENT AND CLK='1' THEN
IF EN = '1' THEN
IF CQI1 < 9 THEN CQI1 := CQI1 + 1 ;COUT <='0';
ELSE CQI1 := (OTHERS =>'0');
if cqi2 < 9 then CQI2 := CQI2 + 1 ;COUT <='0';
ELSE CQI2 := (OTHERS =>'0');
if cqi3 < 9 then CQI3 := CQI3 + 1 ;COUT <='0';
ELSE CQI3 := (OTHERS =>'0');
if cqi4 < 9 then CQI4 := CQI4 + 1 ;COUT <='0';
ELSE CQI4 := (OTHERS =>'0');
if cqi5 < 9 then CQI5 := CQI5 + 1 ;COUT <='0';
ELSE CQI5 := (OTHERS =>'0');
if cqi6 < 9 then CQI6 := CQI6 + 1 ;COUT <='0';
ELSE CQI6 := (OTHERS =>'0');
if cqi7 < 9 then CQI7 := CQI7 + 1 ;COUT <='0';
ELSE CQI7 := (OTHERS =>'0');
if cqi8 < 9 then CQI8 := CQI8 + 1 ;COUT <='0';
ELSE CQI8 := (OTHERS =>'0');COUT <= '1';
end if;
end if;
end if;
end if;
end if;
end if;
end if;
END IF;
END IF;
END IF;
CQ(3 DOWNTO 0) <= CQI1;
CQ(7 DOWNTO 4) <= CQI2;
CQ(11 DOWNTO 8) <= CQI3;
CQ(15 DOWNTO 12) <= CQI4;
CQ(19 DOWNTO 16) <= CQI5;
CQ(23 DOWNTO 20) <= CQI6;
CQ(27 DOWNTO 24) <= CQI7;
CQ(31 DOWNTO 28) <= CQI8;
END PROCESS;
END BEHAV;
?? 快捷鍵說明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -