亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? sobel.vhd

?? 這是本人自己編寫的可用于256*256大小的圖像進行sobel邊緣檢測的vhd文件
?? VHD
?? 第 1 頁 / 共 2 頁
字號:
   --This VHDL file(IP Core) realizes the function of 
   --image(bitmap 256x256) edge detection by Sobel arithmetic.
   --data:2000.11.20
    library IEEE;
    use ieee.std_logic_1164.all;

     package image is
     subtype pixel is integer range -1024 to 1024;
     end image;
   
   Library IEEE;
      USE IEEE.STD_LOGIC_1164.ALL;
      USE IEEE.STD_LOGIC_UNSIGNED.ALL;
      USE IEEE.STD_LOGIC_ARITH.ALL;
      USE work.image.all;
    --端口定義
    Entity sobel IS 
       PORT(                     
              en    : IN STD_LOGIC; 				--輸入使能
              clk   : IN STD_LOGIC; 				--時鐘
              i_in  : IN STD_LOGIC_VECTOR(7 DOWNTO 0);		--數據輸入
              i_out : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)		--數據輸出
              );

      END sobel;
      
    --內部行為邏輯定義
    Architecture behave OF sobel IS 
     signal ram_data1 : STD_LOGIC_VECTOR (7 DOWNTO 0);		--內部雙口ram1的數據輸入
     signal ram_data2 : STD_LOGIC_VECTOR (7 DOWNTO 0);		--內部雙口ram2的數據輸入
     signal ram_data3 : STD_LOGIC_VECTOR (7 DOWNTO 0);		--內部雙口ram3的數據輸入
     
     signal ram_q1 : STD_LOGIC_VECTOR (7 DOWNTO 0);		--內部雙口ram1的數據輸出
     signal ram_q2 : STD_LOGIC_VECTOR (7 DOWNTO 0);		--內部雙口ram2的數據輸出
     signal ram_q3 : STD_LOGIC_VECTOR (7 DOWNTO 0);		--內部雙口ram3的數據輸出
     
     signal ram_wadd1 : STD_LOGIC_VECTOR (8 DOWNTO 0);		--寫內部雙口ram1的地址
     signal ram_wadd2 : STD_LOGIC_VECTOR (8 DOWNTO 0);		--寫內部雙口ram2的地址
     signal ram_wadd3 : STD_LOGIC_VECTOR (8 DOWNTO 0);		--寫內部雙口ram3的地址
     
     signal ram_radd  : STD_LOGIC_VECTOR (8 DOWNTO 0);		--讀內部雙口ram的地址(公用同一地址信號)
     
     signal ram_wren1  : std_logic;				--內部雙口ram1寫使能信號
     signal ram_wren2  : std_logic;				--內部雙口ram2寫使能信號
     signal ram_wren3  : std_logic;				--內部雙口ram3寫使能信號
     
     signal nreset  : std_logic;				--內部雙口ram復位信號(由外部reset控制)
     
     signal ram_in_sc_tmp       : std_logic_vector(10 downto 0);		--內部ram片選信號
     
     signal x11        : pixel;			--緩存模板矩陣X(3,3)數據
     signal x12        : pixel;			--..
     signal x13        : pixel;			--..
     signal x21        : pixel;			--..
     signal x22        : pixel;			--..
     signal x23        : pixel;			--..
     signal x31        : pixel;			--..
     signal x32        : pixel;			--..
     signal x33        : pixel;			--..
     
     signal sum1        : pixel;			--緩存一次減法運算結果
     signal sum2        : pixel;			--..
     signal sum3        : pixel;			--..
     signal sum4        : pixel;			--..
     
     signal comp_tmp1   :std_logic;		--緩存比較運算結果(0 or 1)
     signal comp_tmp2   :std_logic;             --..
     signal comp_tmp3   :std_logic;		--..
     signal comp_tmp4   :std_logic;		--..
     signal comp_tmp5   :std_logic;		--..
     

     signal Sum2x           : pixel;	--緩存自加結果
     signal Sum4x           : pixel;     --..
     
     signal Sum_SumX        : pixel;	--緩存一次加法運算結果
     signal Sum_SumY        : pixel;	--..
     
     signal X               : std_logic_vector(7 downto 0);	--緩存Y方向模板運算結果
     signal Y               : std_logic_vector(7 downto 0);     --緩存X方向模板運算結果
     
     signal add_result      : std_logic_vector(7 downto 0);	--(X+Y)加法器運算輸出
     signal add_cout        : std_logic;                        --加法運算進位標志
     
     signal i_out_tmp      : std_logic_vector(7 downto 0);      --一次模板運算結果
     
     --外部定義雙口ram
     component ram512x8
     PORT
   (
		data		: IN STD_LOGIC_VECTOR (7 DOWNTO 0);
		wraddress		: IN STD_LOGIC_VECTOR (8 DOWNTO 0);
		rdaddress		: IN STD_LOGIC_VECTOR (8 DOWNTO 0);
		wren		: IN STD_LOGIC  := '1';
		rden		: IN STD_LOGIC  := '1';
		clock		: IN STD_LOGIC ;
		q		: OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
	 );
     end component;
     
     --外部定義帶進位標志的8位加法器
     component addc 
     PORT                                                                     
	(
		dataa		: IN STD_LOGIC_VECTOR (7 DOWNTO 0);
		datab		: IN STD_LOGIC_VECTOR (7 DOWNTO 0);
		result		: OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
		cout		: OUT STD_LOGIC 
	);
     end component;
                  
     BEGIN
     
     --應用到3個雙口RAM,分別存放3行相鄰的圖象數據
     --新的圖像數據存入并覆蓋掉不再使用的數據,已達到循環使用目的
     --RAM的讀寫時鐘一致。
     ram1 : ram512x8 port map 
            (
              ram_data1,
              ram_wadd1,
              ram_radd,
              ram_wren1,
              nreset,
              clk,
              ram_q1
            );
     ram2 : ram512x8 port map 
            (
              ram_data2,
              ram_wadd2,
              ram_radd,
              ram_wren2,
              nreset,
              clk,
              ram_q2
            );
     ram3 : ram512x8 port map 
            (
              ram_data3,
              ram_wadd3,
              ram_radd,
              ram_wren3,
              nreset,
              clk,
              ram_q3
            ); 
            
     --應用待進位的加法器,可實現加法與比較功能(加法運算結果與255比較)
     --該圖像邊緣檢測的閾值為255。
     add   : addc port map
            (
              X,
              Y,
              add_result,
              add_cout
             );  
             
     --并行信號
     ram_data1<=i_in;
     ram_data2<=i_in;
     ram_data3<=i_in;
     nreset<=not en;
   
     i_out<=i_out_tmp;
    
    --控制讀外部RAM地址計數  
    --process(clk,en)                       
    --begin
    --  if en='1'  then
    --    ram_in_addr_tmp<=(others=>'0');
    --  elsif clk'event and clk='1' then
    --    ram_in_addr_tmp<=ram_in_addr_tmp+1;
    --  end if;         
    --end process;
    
    --控制片選計數信號
    --計滿三行數據時,復位片選計數信號,再下一個時鐘來時,開始重新計數
    --經過測試復位片選信號好像沒有問題
    process(clk,en)                       
    begin
      if en='1'   then
        ram_in_sc_tmp<=(others=>'0');
      elsif clk'event and clk='1' then
        if ram_in_sc_tmp="10000011111" then 	--三行圖像象素個數768
           ram_in_sc_tmp<=(others=>'0');   	
        else ram_in_sc_tmp<=ram_in_sc_tmp+1;
        end if;
      end if;         
    end process; 
    
    --控制3個內部ram的寫使能信號,保證某一時刻僅有一片ram可寫
    --經過測試RAM寫使能信號好像沒有問題
    process(clk,en)   
    begin
      if en='1' then
        ram_wren1<='0';
        ram_wren2<='0';
        ram_wren3<='0'; 
      elsif clk'event and clk='1' then
       if   ram_in_sc_tmp="00000000000"  then
        ram_wren1<='1';
        ram_wren3<='0';
       elsif ram_in_sc_tmp="00101100000" then
        ram_wren1<='0'; 
        ram_wren2<='1';
       elsif ram_in_sc_tmp="01011000000"  then
        ram_wren2<='0';
        ram_wren3<='1';   
       end if; 
      end if;        
    end process;
    
    --控制寫外部RAM地址計數信號
    --process(clk,en)
    --begin
    --  if en='1' then
    --    ram_out_addr_tmp<=(others=>'0');
    --  elsif clk'event and clk='1' then
    --    ram_out_addr_tmp<=ram_out_addr_tmp+1;
    --  end if;         
    --end process;
    
    --控制寫內部ram1的地址信號計數信號
    --經過測試RAM1地址信號好像沒有問題
    process(clk,ram_wren1) 
    begin
      if ram_wren1='0' then
        ram_wadd1<=(others=>'0');
      elsif clk'event and clk='1' then
        ram_wadd1<=ram_wadd1+1;
      end if;         
    end process;
    
     --控制寫內部ram2的地址信號計數信號
     --經過測試RAM2地址信號好像沒有問題
    process(clk,ram_wren2)                       
    begin
      if ram_wren2='0' then
        ram_wadd2<=(others=>'0');
      elsif clk'event and clk='1' then
        ram_wadd2<=ram_wadd2+1;
      end if;         
    end process;
    
     --控制寫內部ram3的地址信號計數信號
     --經過測試RAM3地址信號好像沒有問題
    process(clk,ram_wren3)                       
    begin
      if ram_wren3='0' then
        ram_wadd3<=(others=>'0');
      elsif clk'event and clk='1' then
        ram_wadd3<=ram_wadd3+1;
      end if;         
    end process;
    
    --控制從內部ram中讀數據的地址信號
    --經過測試內部RAM的讀信號地址好像沒有問題,比寫信號要晚一個時鐘
    process(clk,en)
    begin
      if en='1' then
        ram_radd<=(others=>'0');
      elsif clk'event and clk='1' then
        if ram_wren1='1' then

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
成人免费在线视频| 日韩欧美一区二区久久婷婷| 精品一区二区在线观看| 日韩和欧美的一区| 日韩国产精品91| 三级久久三级久久| 五月天久久比比资源色| 五月天一区二区| 男人的j进女人的j一区| 久久99国产精品麻豆| 国产suv精品一区二区6| 丁香激情综合国产| 色婷婷久久综合| 欧美综合久久久| 欧美一区二区三区四区视频| 精品国产91洋老外米糕| 久久久99久久| 亚洲精品菠萝久久久久久久| 午夜日韩在线电影| 精品一区二区三区影院在线午夜 | 国内成人自拍视频| 国产91丝袜在线播放| av在线一区二区| 欧美日韩精品免费| 精品国产乱码久久久久久久| 欧美激情一区二区三区不卡| 亚洲精品视频一区| 免费一级片91| 处破女av一区二区| 欧美日韩一级大片网址| 久久久久久久久97黄色工厂| 亚洲图片另类小说| 蜜臀久久99精品久久久久久9| 国产成人精品1024| 欧美日韩电影在线| 国产精品视频线看| 日韩av在线播放中文字幕| 国产.精品.日韩.另类.中文.在线.播放| av不卡一区二区三区| 欧美一级黄色录像| 亚洲欧美日韩国产一区二区三区| 日韩黄色免费电影| 99国产一区二区三精品乱码| 日韩亚洲欧美在线| 一区二区三区波多野结衣在线观看| 奇米精品一区二区三区在线观看 | 欧美国产精品v| 亚洲国产视频在线| 国产成人福利片| 欧美伦理视频网站| 亚洲欧洲精品天堂一级| 久久99精品一区二区三区 | 日本不卡123| 91在线观看美女| 久久综合色婷婷| 日韩高清在线一区| 在线亚洲高清视频| 亚洲欧美在线观看| 成人久久18免费网站麻豆| 欧美成人福利视频| 日韩精品91亚洲二区在线观看| 日本精品视频一区二区| 国产精品美女久久久久久2018 | 成人欧美一区二区三区| 国产乱人伦精品一区二区在线观看 | 国产另类ts人妖一区二区| 91精品久久久久久久久99蜜臂| 亚洲男人天堂一区| 成人国产在线观看| 国产精品美女久久久久av爽李琼 | 亚洲国产视频一区二区| 色综合久久久久综合| 国产精品久线观看视频| 成人一级视频在线观看| 国产午夜精品理论片a级大结局| 免费成人av资源网| 日韩欧美黄色影院| 另类小说欧美激情| 欧美成人国产一区二区| 韩国三级中文字幕hd久久精品| 精品理论电影在线观看| 激情文学综合网| 国产亚洲一本大道中文在线| 丰满亚洲少妇av| 国产欧美一区二区三区沐欲| 一本久久综合亚洲鲁鲁五月天| 国产精品少妇自拍| av电影在线不卡| 亚洲午夜精品网| 欧美精品1区2区| 久久福利视频一区二区| 国产亚洲欧美在线| 99精品视频一区| 亚洲最大的成人av| 欧美一区二区在线免费观看| 蜜桃91丨九色丨蝌蚪91桃色| 久久奇米777| 91麻豆成人久久精品二区三区| 亚洲欧洲中文日韩久久av乱码| 欧美影院午夜播放| 久久97超碰色| 综合激情成人伊人| 91精品国产入口| 成人精品视频.| 亚洲一区在线视频观看| 欧美电视剧在线观看完整版| 成人午夜av电影| 午夜久久福利影院| 国产欧美日韩在线看| 在线观看一区不卡| 久久国产精品99精品国产| 国产精品久久久久永久免费观看| 在线视频亚洲一区| 精品一区二区在线观看| 亚洲男人天堂一区| 久久久天堂av| 欧美日韩国产精品自在自线| 岛国一区二区在线观看| 日韩精品视频网站| 椎名由奈av一区二区三区| 欧美成人乱码一区二区三区| 91网站最新网址| 国内精品在线播放| 亚洲成人你懂的| 中文字幕一区二区三区av| 日韩三级高清在线| 欧美色偷偷大香| 成人国产精品免费| 国产精品资源在线| 成人精品一区二区三区四区| 免费美女久久99| 亚洲一区二区欧美日韩| 亚洲国产精品成人综合| 欧美成人在线直播| 欧美肥胖老妇做爰| 欧美午夜视频网站| heyzo一本久久综合| 国产一区二区三区四区五区入口| 午夜一区二区三区在线观看| 国产精品不卡一区二区三区| 久久伊人蜜桃av一区二区| 日韩欧美中文字幕公布| 欧美日韩国产在线观看| 91无套直看片红桃| 99久久国产综合精品色伊| 粉嫩13p一区二区三区| 久久成人18免费观看| 日韩av在线播放中文字幕| 日韩精品亚洲专区| 日韩专区一卡二卡| 午夜精品久久久| 亚洲成人av一区二区| 亚洲一二三四区| 亚洲国产成人tv| 日韩在线a电影| 奇米影视一区二区三区小说| 蜜臀精品久久久久久蜜臀| 午夜精品久久久久久久| 美女任你摸久久| 精品一区二区三区日韩| 韩国三级中文字幕hd久久精品| 久久精品噜噜噜成人av农村| 精品在线亚洲视频| 国产福利精品一区| 成人综合婷婷国产精品久久蜜臀| 成人永久免费视频| 一本一本大道香蕉久在线精品| 色呦呦网站一区| 欧美日韩一区在线| 日韩免费视频一区| 国产亚洲欧美日韩俺去了| 国产精品蜜臀在线观看| 一区二区三区中文在线| 日韩中文字幕1| 久久99精品视频| 成人av网在线| 欧美裸体一区二区三区| 久久综合久久综合九色| 国产精品久久毛片| 午夜激情一区二区三区| 国产一区91精品张津瑜| av在线不卡网| 日韩一本二本av| 国产精品国产自产拍高清av| 一区二区三区在线视频观看| 日韩有码一区二区三区| 成人一区二区三区在线观看| 欧美性videosxxxxx| 337p粉嫩大胆色噜噜噜噜亚洲| 国产精品成人免费在线| 视频一区欧美精品| 不卡一区在线观看| 日韩三级精品电影久久久| 国产精品电影一区二区三区| 日韩精品一二三四| av一区二区三区| 久久婷婷一区二区三区| 亚洲伊人伊色伊影伊综合网| 懂色中文一区二区在线播放| 欧美精品久久久久久久久老牛影院|