亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? sd_cnfg.v

?? 已經成功的FPGA 控制的SDRAM控制器代碼.只要修改你需要的寬度就可以了.
?? V
字號:
// --------------------------------------------------------------------
// >>>>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<
// --------------------------------------------------------------------
// Copyright (c) 2001 by Lattice Semiconductor Corporation
// --------------------------------------------------------------------
//
// Permission:
//
//   Lattice Semiconductor grants permission to use this code for use
//   in synthesis for any Lattice programmable logic product.  Other
//   use of this code, including the selling or duplication of any
//   portion is strictly prohibited.
//
// Disclaimer:
//
//   This VHDL or Verilog source code is intended as a design reference
//   which illustrates how these types of functions can be implemented.
//   It is the user's responsibility to verify their design for
//   consistency and functionality through the use of formal
//   verification methods.  Lattice Semiconductor provides no warranty
//   regarding the use or functionality of this code.
//
// --------------------------------------------------------------------
//           
//                     Lattice Semiconductor Corporation
//                     5555 NE Moore Court
//                     Hillsboro, OR 97214
//                     U.S.A
//
//                     TEL: 1-800-Lattice (USA and Canada)
//                          408-826-6000 (other locations)
//
//                     web: http://www.latticesemi.com/
//                     email: techsupport@latticesemi.com
//
// --------------------------------------------------------------------
// Revision History :
//---------------------------------------------------------------------
// Ver  | Author    | Mod. Date | Changes Made:
//---------------------------------------------------------------------
// 0.1  | tpf       | 11/25/98  | birth
// 1.0  | tpf       |  3/19/99  | Release
//---------------------------------------------------------------------

`timescale 1 ns /  100 ps

/*
This module provides an alternative to having an internal register to
load the sdram command mode values and to initiate the sdram startup
procedure.  Upon receiving the sdram_enable signal, which is assumed 
to be asynchronous to the clock, the state machine starts initiating 3
commands to the sdrams.  The first will be a precharge, the second will
be an auto refresh, the last wil be the load mode register command.
After performing these threee commands, the sdram will be functional.
*/

module sd_cnfg(	sdram_en,
				clk,
               	rst_l,
               	sdram_cycle,
                state_cntr,
               	sdram_mode_reg,
               	sdram_cmnd,
               	cmnd_cycle_req,
               	sdram_setup);

//---------------------------------------------------------------------
// inputs

input			sdram_en;
input			clk;
input			rst_l;
input	[3:0]	sdram_cycle;        // whose cycle is it
                                    // 0 = idle
                                    // 1 = command
                                    // 2 = data
                                    // 3 = refresh 
input   [3:0]   state_cntr;         // state bits 
                                   
//---------------------------------------------------------------------
// outputs

output  [11:0]	sdram_mode_reg;
output  [1:0]	sdram_cmnd;
output			cmnd_cycle_req;
output          sdram_setup;

//---------------------------------------------------------------------
// registers

reg				sdram_en1,
				sdram_en2;			// enable sync'd twice

reg		[3:0]	state;				// state bits

wire   	[11:0]  sdram_mode_reg;		// mode register

reg    	[1:0]	sdram_cmnd;			// 00 -- nop
									// 01 -- precharge all banks
									// 10 -- autorefresh
									// 11 -- load mode register 

reg             cmnd_cycle_req;		// request command cycle

reg             sdram_setup;        // setup complete

//---------------------------------------------------------------------
// mode register defines

// write mode set to single or programmed burst length -- mode bit[9]
`define prog_brst   0
`define single      1

// cas latency set to 2 or 3 -- mode bits[6:4]
`define cas_lat_2   3'b010
`define cas_lat_3   3'b011

// burst type sequential or interleaved -- mode bit[3]
`define seq         1'b0
`define int         1'b1

// burst length -- mode bits[2:0]
`define brst1       3'b000                 // 1
`define brst2       3'b001                 // 2
`define brst4       3'b010                 // 4
`define brst8       3'b011                 // 8
`define brstf       3'b111                 // full page

//---------------------------------------------------------------------
// sdram mode register assignment
// change values to whatever you need

assign sdram_mode_reg[11:10] = 2'b0;        // reserved
assign sdram_mode_reg[9]     = `prog_brst;  // write mode
assign sdram_mode_reg[8:7]   = 2'b0;        // reserved
assign sdram_mode_reg[6:4]   = `cas_lat_2;  // cas latency 2 clocks
assign sdram_mode_reg[3]     = `seq;        // sequential access
assign sdram_mode_reg[2:0]   = `brst8;      // burst of 8

//---------------------------------------------------------------------
// state assignments

parameter idle      = 4'b0000;
parameter precharge = 4'b0001;
parameter nop1      = 4'b0010;
parameter refresh1  = 4'b0011;
parameter nop2      = 4'b0100;
parameter refresh2  = 4'b0101;
parameter nop3      = 4'b0110;
parameter load_mode = 4'b0111;
parameter all_done  = 4'b1000;

//---------------------------------------------------------------------
// synchronize enable

always @(posedge clk or negedge rst_l)
	if (!rst_l) begin
		sdram_en1 <= #1 1'b0;
		sdram_en2 <= #1 1'b0;
		end
	else begin
		sdram_en1 <= #1 sdram_en;
		sdram_en2 <= #1 sdram_en1;
		end
		
//---------------------------------------------------------------------
// state machine

always @(posedge clk or negedge rst_l)
   if (!rst_l) begin
      state          <= #1 idle;
	  sdram_cmnd     <= #1 2'b00;
	  cmnd_cycle_req <= #1 1'b0;
      sdram_setup    <= #1 1'b0;
	  end

   else case (state)
   
	idle : if (sdram_en2) begin
        state          <= #1 precharge;
        sdram_cmnd     <= #1 2'b01;
		cmnd_cycle_req <= #1 1'b1;	
		sdram_setup    <= #1 1'b0;
	    end

	precharge : if (sdram_cycle[1] && state_cntr[3]) begin
	  	state          <= #1 nop1;
		sdram_cmnd     <= #1 2'b00;
		cmnd_cycle_req <= #1 1'b0;
      	sdram_setup    <= #1 1'b0;
	    end

	nop1 : begin
		state          <= #1 refresh1;
		sdram_cmnd     <= #1 2'b10;
		cmnd_cycle_req <= #1 1'b1;
		sdram_setup    <= #1 1'b0;
	    end

	refresh1 : if (sdram_cycle[1] && state_cntr[3]) begin
	  	state          <= #1 nop2;
		sdram_cmnd     <= #1 2'b00;
		cmnd_cycle_req <= #1 1'b0;
      	sdram_setup    <= #1 1'b0;
	    end
	  
	nop2 : begin
		state          <= #1 refresh2;
		sdram_cmnd     <= #1 2'b10;
		cmnd_cycle_req <= #1 1'b1;
		sdram_setup    <= #1 1'b0;
	    end

	refresh2 : if (sdram_cycle[1] && state_cntr[3]) begin
	  	state          <= #1 nop3;
		sdram_cmnd     <= #1 2'b00;
		cmnd_cycle_req <= #1 1'b0;
      	sdram_setup    <= #1 1'b0;
	    end
	  
	nop3 : begin
		state          <= #1 load_mode;
		sdram_cmnd     <= #1 2'b11;
		cmnd_cycle_req <= #1 1'b1;
		sdram_setup    <= #1 1'b0;
	    end

	load_mode : if (sdram_cycle[1] && state_cntr[2]) begin
	  	state          <= #1 all_done;
		sdram_cmnd     <= #1 2'b00;
		cmnd_cycle_req <= #1 1'b0;
      	sdram_setup    <= #1 1'b1;
	    end
	  
	all_done : begin
		state          <= #1 all_done;
		sdram_cmnd     <= #1 2'b00;
		cmnd_cycle_req <= #1 1'b0;
		sdram_setup    <= #1 1'b1;
	    end

	default : begin
      	state          <= #1 idle;
	 	sdram_cmnd     <= #1 2'b00;
	  	cmnd_cycle_req <= #1 1'b0;
	  	sdram_setup    <= #1 1'b0;
	    end

	endcase

endmodule
		

                  


?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
亚洲一区二区三区不卡国产欧美| 在线观看三级视频欧美| 亚洲午夜久久久久| 亚洲欧洲日韩一区二区三区| 久久夜色精品国产噜噜av| 欧美日韩日日骚| 精品视频一区二区三区免费| 91九色最新地址| 在线精品视频小说1| 欧美天堂亚洲电影院在线播放 | 欧美肥妇bbw| 精品污污网站免费看| 欧美日韩黄视频| 日韩欧美三级在线| 久久亚洲精品小早川怜子| 国产欧美日产一区| 国产精品久久久久久久久免费桃花| 国产欧美一区视频| 国产精品私人影院| 伊人婷婷欧美激情| 五月婷婷综合在线| 精品在线一区二区三区| 激情文学综合插| av色综合久久天堂av综合| 色94色欧美sute亚洲线路一久| 在线一区二区三区四区| 欧美裸体一区二区三区| 久久久久久久综合狠狠综合| 国产精品久久看| 亚洲一线二线三线视频| 美女视频黄久久| 高清不卡一二三区| 欧美视频你懂的| 欧美成人三级在线| 亚洲视频 欧洲视频| 日韩电影一区二区三区四区| 国产专区欧美精品| 欧美性受xxxx黑人xyx| 亚洲精品一区二区精华| 亚洲美女电影在线| 国产精品综合一区二区| 欧美偷拍一区二区| 欧美国产激情一区二区三区蜜月| 亚洲国产精品欧美一二99| 国产久卡久卡久卡久卡视频精品| 91成人国产精品| 国产精品丝袜一区| 麻豆一区二区三| 在线视频国内一区二区| 国产日韩欧美一区二区三区综合| 亚洲一区二区在线免费看| 国产成人久久精品77777最新版本 国产成人鲁色资源国产91色综 | 最新国产精品久久精品| 欧美bbbbb| 91一区二区三区在线播放| 日韩美女一区二区三区四区| 亚洲精品一二三区| 东方欧美亚洲色图在线| 精品少妇一区二区三区日产乱码 | 欧美疯狂性受xxxxx喷水图片| 久久品道一品道久久精品| 亚洲成av人影院在线观看网| 成人动漫在线一区| ww亚洲ww在线观看国产| 亚洲成人午夜电影| 一本到高清视频免费精品| 久久亚洲影视婷婷| 久久99久久精品欧美| 欧美日韩一区二区三区高清 | 欧美麻豆精品久久久久久| 亚洲天堂中文字幕| a在线欧美一区| 久久免费视频一区| 麻豆免费精品视频| 欧美一级午夜免费电影| 亚洲国产精品久久久久婷婷884| 色综合视频一区二区三区高清| 日本一区二区久久| 国产成人亚洲综合a∨婷婷| 久久亚洲免费视频| 国产精品伊人色| 久久综合久久久久88| 国产在线观看免费一区| 国产日韩欧美高清| 精品一区二区免费| 欧美国产日韩精品免费观看| 成人污视频在线观看| 国产亲近乱来精品视频| 成人av片在线观看| 亚洲一区二区三区三| 91精品国产综合久久精品性色| 午夜激情一区二区| 精品捆绑美女sm三区| 国产一区二区三区av电影| 国产精品视频你懂的| 91偷拍与自偷拍精品| 亚洲午夜久久久久久久久久久| 制服丝袜亚洲网站| 国产一区二区电影| 亚洲男人天堂一区| 欧美一级片在线看| 国产成人精品1024| 一区二区三区欧美在线观看| 欧美三日本三级三级在线播放| 日韩精品亚洲专区| 久久精品男人天堂av| 不卡的av网站| 爽好久久久欧美精品| 欧美精品一区二区三区在线播放| 国产高清一区日本| 亚洲一区二三区| 久久久久久亚洲综合影院红桃| 成人激情开心网| 奇米影视7777精品一区二区| 国产亚洲成av人在线观看导航| 一本色道久久综合亚洲精品按摩| 秋霞影院一区二区| 国产精品视频观看| 69堂成人精品免费视频| 国产精品一区二区视频| 亚洲综合在线免费观看| 欧美精品一区二区三区久久久| 99久久久精品免费观看国产蜜| 亚洲gay无套男同| 国产精品美女久久久久久2018| 欧美老年两性高潮| av动漫一区二区| 精品一区二区三区的国产在线播放| 国产精品国产三级国产普通话99| 欧美男男青年gay1069videost| 成人网页在线观看| 国产福利一区在线观看| 奇米综合一区二区三区精品视频| 亚洲欧美国产三级| 中文一区一区三区高中清不卡| 欧美二区乱c少妇| 91免费看视频| 成人午夜短视频| 国产伦精品一区二区三区免费迷| 亚洲综合激情网| 专区另类欧美日韩| 国产午夜精品一区二区| 日韩欧美一区二区在线视频| 欧美午夜精品一区二区蜜桃 | 欧美午夜寂寞影院| 成人av集中营| 风间由美一区二区三区在线观看| 麻豆成人av在线| 久久超碰97中文字幕| 美国一区二区三区在线播放| 三级影片在线观看欧美日韩一区二区 | 884aa四虎影成人精品一区| 欧洲一区在线电影| 91网站最新网址| 色婷婷久久久综合中文字幕| 99re在线视频这里只有精品| 成人午夜精品一区二区三区| 成人综合日日夜夜| 高清免费成人av| 91丨porny丨蝌蚪视频| 94-欧美-setu| 在线观看一区不卡| 制服丝袜日韩国产| 精品久久人人做人人爱| 中文字幕乱码亚洲精品一区 | 欧美色图激情小说| 欧美欧美欧美欧美首页| 69堂精品视频| 久久婷婷成人综合色| 国产精品麻豆99久久久久久| 综合在线观看色| 亚洲大型综合色站| 麻豆成人av在线| av一二三不卡影片| 色欧美片视频在线观看| 欧美日韩美少妇| 精品国产sm最大网站免费看| 欧美国产日本视频| 亚洲一区在线看| 国产乱子伦视频一区二区三区| 成人免费看视频| 9191久久久久久久久久久| 精品国产凹凸成av人导航| 亚洲四区在线观看| 奇米精品一区二区三区在线观看一| 国产精品1区2区3区| 色琪琪一区二区三区亚洲区| 欧美二区三区91| 一区在线观看免费| 日韩成人一级大片| 99视频一区二区| 91精品国产一区二区三区香蕉| 国产人成一区二区三区影院| 一区二区三区四区在线| 激情综合色丁香一区二区| 91麻豆国产福利精品| 2024国产精品| 午夜日韩在线电影| 91在线观看下载| 日韩欧美国产一区二区在线播放|