亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關(guān)于我們
? 蟲蟲下載站

?? state.vhd

?? intel flash控制器VHDL 源代碼
?? VHD
字號(hào):
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity state is
	port( CLK33	 	  : in std_logic ;
	      RSTB		  : in std_logic ;
              STS		  : in std_logic ;
	      CMP		  : in std_logic ;
	      DATA_OUT		  : in std_logic_vector(7 downto 0) ;
	      C_OEN		  : in std_logic ;
	      EN_FLASH	  	  : in std_logic ;
	      EN_APEX		  : in std_logic ;
	      EN_LAST		  : in std_logic ;
              EN_VERIFY           : in std_logic ;
	      CONF_END	  	  : in std_logic ;
	      CONF_ADD	  	  : in std_logic_vector(21 downto 0);
	      INIT_EN	  	  : in std_logic ;
	      C_ERR		  : in std_logic ;
	      VERI_ERR	  	  : in std_logic ;
	      CNT3V_C             : in std_logic ;
	      CHECK_ST		  : out std_logic ;
	      READ_ST		  : out std_logic ;
	      INIT_ST		  : out std_logic ;
	      CONF_ST		  : out std_logic ;
	      VERI_ST		  : out std_logic ;
	      FLASH_RUN		  : out std_logic ;
	      ERASE_RUN		  : out std_logic ;
	      RD_P		  : out std_logic ;
	      VERI_ACK            : out std_logic ;
	      DA		  : out std_logic_vector(7 downto 0);
	      ADD		  : out std_logic_vector(21 downto 0);
	      CEN		  : out std_logic ;
	      WEN	  	  : out std_logic ;
	      OEN		  : out std_logic
	     );
end;

architecture rtl of state is

---------------------------------------------------------------
constant D_A5H     :std_logic_vector(7 downto 0):= "10100101" ;
constant D_20H     :std_logic_vector(7 downto 0):= "00100000" ;
constant D_40H     :std_logic_vector(7 downto 0):= "01000000" ;
constant D_D0H     :std_logic_vector(7 downto 0):= "11010000" ;
constant D_FFH     :std_logic_vector(7 downto 0):= "11111111" ;
constant A_000001H :std_logic_vector(21 downto 0):= "0000000000000000000001" ;
constant A_000000H :std_logic_vector(21 downto 0):= "0000000000000000000000" ;
---------------------------------------------------------------
constant START    : std_logic_vector(4 downto 0):= "00001" ;
constant CHECK    : std_logic_vector(4 downto 0):= "00010" ;
------------------------------------------------------------
constant CONF_SET : std_logic_vector(4 downto 0):= "00011" ;
constant CONF     : std_logic_vector(4 downto 0):= "00100" ;
------------------------------------------------------------
constant DEL1ST   : std_logic_vector(4 downto 0):= "01000" ;
constant DEL2ND   : std_logic_vector(4 downto 0):= "01001" ;
constant DEL      : std_logic_vector(4 downto 0):= "01010" ;
------------------------------------------------------------
constant PRO1ST   : std_logic_vector(4 downto 0):= "10000" ;
constant PRO2ND   : std_logic_vector(4 downto 0):= "10001" ;
constant PRO      : std_logic_vector(4 downto 0):= "10010" ;
constant READ_SET : std_logic_vector(4 downto 0):= "10011" ;
constant READ     : std_logic_vector(4 downto 0):= "10100" ;
------------------------------------------------------------
constant INIT     : std_logic_vector(4 downto 0):= "00000" ;
------------------------------------------------------------
constant VERI_SET : std_logic_vector(4 downto 0):= "00101" ;
constant VERIFY   : std_logic_vector(4 downto 0):= "00110" ;
------------------------------------------------------------

signal CURRENT_STATE : std_logic_vector(4 downto 0) ;
signal NEXT_STATE    : std_logic_vector(4 downto 0) ;
signal NEXT_ST	     : std_logic ;
signal CTEN3	     : std_logic ;
signal CNT3	     : std_logic_vector(2 downto 0) ;
signal CNT3_C	     : std_logic ;
signal CNT3_E	     : std_logic ;
signal W_EN	     : std_logic ;
signal O_EN	     : std_logic ;
signal CNT3_4        : std_logic ;
signal CNT22         : std_logic_vector(21 downto 0) ;
signal CNT22_E	     : std_logic ;
signal CNT5	     : std_logic_vector(4 downto 0) ;
signal CNT5_E	     : std_logic ;
signal BA_END	     : std_logic ;
signal BADD          : std_logic_vector(21 downto 0) ;
signal STS1S	     : std_logic ;
signal STS2S	     : std_logic ;
signal END_P	     : std_logic ;
signal ENB_S	     : std_logic ;
signal ENB_R	     : std_logic ;
signal ENB	     : std_logic ;
signal WRUN	     : std_logic ;
signal ERUN	     : std_logic ;

signal VERI_OEN      : std_logic ;
signal VERI_OEN_1S   : std_logic ;
signal VERI_OEN_2S   : std_logic ;
signal VERI_OEN_3S   : std_logic ;
signal VERI_OEN_4S   : std_logic ;
signal VERI_NS       : std_logic ;
signal ENB_S_V       : std_logic ;
signal ENB_R_V       : std_logic ;

begin
-- 3bit counter -----------------------------------------------------------------------------------

	CNT3_E <= ((CTEN3) or (INIT_EN)) ;

	process (CLK33,RSTB) begin
		if (RSTB='0') then
			CNT3 <= "000" ;
		elsif (CLK33' event and CLK33='1') then
			if (CNT3_E='1') then
				CNT3 <= CNT3 + '1' ;
			end if ;
		end if ;
	end process ;

	CNT3_C <= '1' when CNT3="111" else '0' ;
	CNT3_4 <= '1' when CNT3="100" else '0' ;
	RD_P <= CNT3_4 when ((CURRENT_STATE = READ) or (CURRENT_STATE = CHECK)) else '0' ;

	process (CNT3) begin
		case CNT3 is
			when "000" => W_EN <= '1' ;
			when "001" => W_EN <= '1' ;
			when "010" => W_EN <= '1' ;
			when "011" => W_EN <= '0' ;
			when "100" => W_EN <= '0' ;
			when "101" => W_EN <= '0' ;
			when "110" => W_EN <= '1' ;
			when "111" => W_EN <= '1' ;
			when others => W_EN <= '1' ;
		end case ;
	end process ;

	process (CNT3) begin
		case CNT3 is
			when "000" => O_EN <= '1' ;
			when "001" => O_EN <= '1' ;
			when "010" => O_EN <= '0' ;
			when "011" => O_EN <= '0' ;
			when "100" => O_EN <= '0' ;
			when "101" => O_EN <= '0' ;
			when "110" => O_EN <= '1' ;
			when "111" => O_EN <= '1' ;
			when others => O_EN <= '1' ;
		end case ;
	end process ;

-- 22bit address Counter --------------------------------------------------------------------------

	CNT22_E <= '1' when ((CNT3_C='1') and (EN_LAST='0') and (VERI_ERR='0') and (CURRENT_STATE = READ)) else '0' ;

	process (CLK33,RSTB) begin
		if (RSTB='0') then
			CNT22 <= A_000001H ;
		elsif (CLK33' event and CLK33='1') then
			if (ENB = '0') then
				CNT22 <= A_000001H ;
			elsif (CNT22_E='1' or CNT3V_C='1') then
				CNT22 <= CNT22 + '1' ;
			end if ;
		end if ;
	end process ;

	VERI_OEN <= '0' when ((CURRENT_STATE = VERIFY) and (CNT22 /= A_000000H )) else '1' ;

-- 4bit Shift regster -----------------------------------------------------------------------------

	process (CLK33,RSTB) begin
		if (RSTB='0') then
			VERI_OEN_1S <= '0' ;
			VERI_OEN_2S <= '0' ;
			VERI_OEN_3S <= '0' ;
			VERI_OEN_4S <= '0' ;
		elsif (CLK33' event and CLK33='1') then
			VERI_OEN_1S <= not(VERI_OEN) ;
			VERI_OEN_2S <= VERI_OEN_1S ;
			VERI_OEN_3S <= VERI_OEN_2S ;
			VERI_OEN_4S <= VERI_OEN_3S ;
		end if ;
	end process ;

	VERI_NS <= not(VERI_OEN_3S) and VERI_OEN_4S ;
	VERI_ACK <= VERI_OEN_4S ;

-- 5bit counter -----------------------------------------------------------------------------------

	CNT5_E <=  END_P when (CURRENT_STATE = DEL) else '0' ;

	process (CLK33,RSTB) begin
		if (RSTB='0') then
			CNT5 <= "00000" ;
		elsif (CLK33' event and CLK33='1') then
			if (CNT5_E='1') then
				CNT5 <= CNT5 + '1' ;
			end if ;
		end if ;
	end process ;

	BA_END <= '1' when CNT5="11111" else '0' ;

	BADD <= CNT5 & "00000000000000000" ;

-- STS posedge bibun pulse ------------------------------------------------------------------------

	process (CLK33,RSTB) begin
		if (RSTB='0') then
			STS1S <= '1' ;
			STS2S <= '1' ;
		elsif (CLK33' event and CLK33='1') then
			STS1S <= STS ;
			STS2S <= STS1S ;
		end if ;
	end process ;

	END_P <= (STS1S) and not(STS2S) ;

-- ENABLE CREATE ----------------------------------------------------------------------------------

	ENB_S <= '1' when ((END_P='1') and (BA_END='1')) else '0' ;
	ENB_R <= '1' when ((NEXT_ST='1') and (CURRENT_STATE = READ) and ((EN_LAST='1') or (VERI_ERR='1'))) else '0' ;
	ENB_S_V <= '1' when ((CNT3_C='1') and (CURRENT_STATE = VERI_SET)) else '0' ;
	ENB_R_V <= '1' when ((VERI_NS='1') and (CURRENT_STATE = VERIFY)) else '0' ;

	process (CLK33,RSTB) begin
		if (RSTB='0') then
			ENB <= '0' ;
		elsif (CLK33' event and CLK33='1') then
			if (ENB_S='1' or ENB_S_V='1') then
				ENB <= '1';
			elsif (ENB_R='1' or ENB_R_V='1')then
				ENB <= '0';
			end if;
		end if;
	end process ;

-- state machine ----------------------------------------------------------------------------------

	NEXT_ST <= ((CNT3_C) or (END_P) or (CONF_END) or (C_ERR) or (VERI_NS)) ;

	process (CLK33,RSTB) begin
		if (RSTB='0') then
			CURRENT_STATE <= START ;
		elsif (CLK33' event and CLK33='1') then
			if (NEXT_ST='1') then
				CURRENT_STATE <= NEXT_STATE ;
			end if;
		end if;
	end process ;

	process (CURRENT_STATE,CMP,EN_FLASH,EN_APEX,EN_LAST,EN_VERIFY,W_EN,O_EN,C_OEN,VERI_OEN ,
								CONF_ADD,ENB,BADD,CNT22,DATA_OUT,BA_END) begin
		case CURRENT_STATE is
			when START  =>
					ADD 	   <= (others => '0') ;
					DA 	   <= D_FFH ;
					WEN 	   <= W_EN ;
					CEN 	   <= '0' ;
					OEN 	   <= '1' ;
					CTEN3 	   <= '1' ;
					NEXT_STATE <= CHECK ;

			when CHECK  =>
					ADD 	   <= (others => '0') ;
					DA 	   <= (others => '0') ;
					WEN 	   <= '1' ;
					CEN 	   <= '0' ;
					OEN 	   <= O_EN ;
					CTEN3      <= '1' ;
				if ((CMP='1') and (EN_APEX='1'))then
					NEXT_STATE <= CONF ;
				else
					NEXT_STATE <= INIT ;
				end if ;

			when INIT   =>
					ADD 	   <= (others => '0') ;
					DA 	   <= (others => '0') ;
					WEN 	   <= '1' ;
					CEN 	   <= '1' ;
					OEN 	   <= '1' ;
					CTEN3 	   <= '0' ;
				if ((ENB='0') and (EN_FLASH='1')) then
					NEXT_STATE <= DEL1ST ;
				elsif (ENB='1') then
					NEXT_STATE <= PRO1ST ;
				elsif (EN_APEX='1') then
					NEXT_STATE <= CONF_SET ;
				elsif (EN_VERIFY='1') then
					NEXT_STATE <= VERI_SET ;
				else
					NEXT_STATE <= INIT ;
				end if ;

			when DEL1ST =>
					ADD 	   <= BADD ;
					DA 	   <= D_20H ;
					WEN 	   <= W_EN ;
					CEN 	   <= '0' ;
					OEN 	   <= '1' ;
					CTEN3 	   <= '1' ;
					NEXT_STATE <= DEL2ND ;

			when DEL2ND =>
					ADD 	   <= BADD ;
					DA 	   <= D_D0H ;
					WEN 	   <= W_EN ;
					CEN 	   <= '0' ;
					OEN 	   <= '1' ;
					CTEN3 	   <= '1' ;
					NEXT_STATE <= DEL ;

			when DEL    =>
					ADD 	   <= BADD ;
					DA 	   <= (others => '0') ;
					WEN 	   <= '1' ;
					CEN 	   <= '1' ;
					OEN 	   <= '1' ;
					CTEN3 	   <= '0' ;
				if (BA_END='0') then
					NEXT_STATE <= DEL1ST ;
				else
					NEXT_STATE <= PRO1ST ;
				end if ;

			when PRO1ST =>
				if (EN_LAST='1') then
					ADD 	   <= (others => '0') ;
				else
					ADD 	   <= CNT22 ;
				end if ;
					DA 	   <= D_40H ;
					WEN 	   <= W_EN ;
					CEN 	   <= '0' ;
					OEN 	   <= '1' ;
					CTEN3 	   <= '1' ;
					NEXT_STATE <= PRO2ND ;

			when PRO2ND =>
				if (EN_LAST='1') then
					ADD 	   <= (others => '0') ;
					DA 	   <= D_A5H ; --1/11 D_01H -> D_A5H
				else
					ADD 	   <= CNT22 ;
					DA 	   <= DATA_OUT ;
				end if ;
					WEN 	   <= W_EN ;
					CEN 	   <= '0' ;
					OEN 	   <= '1' ;
					CTEN3 	   <= '1' ;
					NEXT_STATE <= PRO ;

			when PRO    =>
				if (EN_LAST='1') then
					ADD 	   <= (others => '0') ;
					DA 	   <= D_A5H ; --1/11 D_01H -> D_A5H
				else
					ADD 	   <= CNT22 ;
					DA 	   <= DATA_OUT ;
				end if ;
					WEN 	   <= '1' ;
					CEN 	   <= '1' ;
					OEN 	   <= '1' ;
					CTEN3 	   <= '0' ;
					NEXT_STATE <= READ_SET ;

			when READ_SET =>
				if (EN_LAST='1') then
					ADD 	   <= (others => '0') ;
				else
					ADD 	   <= CNT22 ;
				end if ;
					DA 	   <= D_FFH ;
					WEN 	   <= W_EN ;
					CEN 	   <= '0' ;
					OEN 	   <= '1' ;
					CTEN3 	   <= '1' ;
					NEXT_STATE <= READ ;

			when READ =>
				if (EN_LAST='1') then
					ADD 	   <= (others => '0') ;
				else
					ADD 	   <= CNT22 ;
				end if ;
					DA 	   <= (others => '0') ;
					WEN 	   <= '1' ;
					CEN 	   <= '0' ; -- 1/11 '1'-> '0'
					OEN 	   <= O_EN ;
					CTEN3 	   <= '1' ;
					NEXT_STATE <= INIT ;


			when CONF_SET =>
					ADD 	   <= (others => '0') ;
					DA 	   <= D_FFH ;
					WEN 	   <= W_EN ;
					CEN 	   <= '0' ;
					OEN 	   <= '1' ;
					CTEN3 	   <= '1' ;
					NEXT_STATE <= CONF ;

			when CONF =>
					ADD 	   <= CONF_ADD ;
					DA 	   <= (others => '0') ;
					WEN 	   <= '1';
					CEN 	   <= C_OEN ;
					OEN 	   <= C_OEN ;
					CTEN3 	   <= '0' ;
					NEXT_STATE <= INIT ;

			when VERI_SET =>
					ADD 	   <= (others => '0') ;
					DA 	   <= D_FFH ;
					WEN 	   <= W_EN ;
					CEN 	   <= '0' ;
					OEN 	   <= '1' ;
					CTEN3 	   <= '1' ;
					NEXT_STATE <= VERIFY ;

			when VERIFY =>
					ADD 	   <= CNT22 ;
					DA 	   <= (others => '0') ;
					WEN 	   <= '1' ;
					CEN 	   <= '0' ;
					OEN 	   <= VERI_OEN ;
					CTEN3 	   <= '0' ;
					NEXT_STATE <= INIT ;

			when others =>
					ADD 	   <= (others => '0');
					DA 	   <= (others => '0') ;
					WEN 	   <= '1';
					CEN 	   <= '1' ;
					OEN 	   <= '1';
					CTEN3 	   <= '0' ;
					NEXT_STATE <= INIT ;
		end case ;
	end process ;

	CHECK_ST <= '1' when (CURRENT_STATE = CHECK) else '0' ;
	READ_ST <= '1' when (CURRENT_STATE = READ) else '0' ;
	CONF_ST <= '1' when (CURRENT_STATE = CONF) else '0' ;
	INIT_ST <= '1' when (CURRENT_STATE = INIT) else '0' ;
	VERI_ST <= '1' when (CURRENT_STATE = VERIFY) else '0' ;

	WRUN <= CURRENT_STATE(4) ;
	ERUN <= CURRENT_STATE(3) ;

	FLASH_RUN <= WRUN ;
	ERASE_RUN <= ERUN ;

---------------------------------------------------------------------------------------------------
end rtl;

?? 快捷鍵說明

復(fù)制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號(hào) Ctrl + =
減小字號(hào) Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
亚洲国产精品久久不卡毛片| 亚洲一区二区成人在线观看| 色哟哟一区二区三区| 日日摸夜夜添夜夜添精品视频| 久久久久国产一区二区三区四区| 在线观看欧美黄色| 国产精品一二三区| 午夜欧美在线一二页| 国产精品剧情在线亚洲| 欧美大黄免费观看| 欧美日韩一卡二卡三卡| 99免费精品视频| 精品一区二区三区久久久| 亚洲午夜在线视频| 亚洲三级小视频| 国产农村妇女毛片精品久久麻豆| 3d动漫精品啪啪1区2区免费 | 国产一区91精品张津瑜| 亚洲免费毛片网站| 国产人伦精品一区二区| 精品久久久影院| 91麻豆精品91久久久久久清纯| 91精品办公室少妇高潮对白| 国产成人综合在线观看| 韩国在线一区二区| 美脚の诱脚舐め脚责91| 日本特黄久久久高潮| 婷婷久久综合九色综合伊人色| 亚洲免费在线播放| 亚洲精品免费视频| 亚洲精品国产无天堂网2021| 亚洲视频在线观看三级| 亚洲天堂精品在线观看| 中文字幕综合网| 亚洲精选视频免费看| 中文字幕一区二区三| 久久久久久综合| 91精品国产乱| 欧美肥胖老妇做爰| av资源站一区| 99视频有精品| 高清av一区二区| 国产麻豆成人精品| 国产一区 二区 三区一级| 美女精品一区二区| 日韩专区欧美专区| 亚洲va欧美va人人爽| 亚洲午夜在线视频| 国产精品国产三级国产aⅴ无密码 国产精品国产三级国产aⅴ原创 | 婷婷综合在线观看| 亚洲午夜私人影院| 亚洲国产一区在线观看| 国产精品嫩草99a| 一色桃子久久精品亚洲| 国产精品久久久久久久久久久免费看| 久久久www成人免费毛片麻豆| 久久这里只有精品首页| 欧美r级电影在线观看| 欧美一级二级三级乱码| 欧美一区二区三区免费观看视频| 欧美精品123区| 91精品麻豆日日躁夜夜躁| 欧美精品免费视频| 欧美电视剧在线观看完整版| 精品国产1区2区3区| 久久久久国产一区二区三区四区| 国产免费成人在线视频| 国产调教视频一区| 亚洲欧洲国产专区| 国产精品麻豆99久久久久久| 中文字幕一区日韩精品欧美| 国产精品成人免费在线| 亚洲精品美腿丝袜| 偷拍日韩校园综合在线| 狠狠网亚洲精品| 99国内精品久久| 色婷婷综合久久久久中文一区二区 | 国产精品夫妻自拍| 一区二区视频在线看| 亚洲一区二区在线观看视频| 亚洲成a人v欧美综合天堂| 亚洲同性gay激情无套| 亚洲成av人片在线观看| 久久99国内精品| 国产成人一区二区精品非洲| 91福利区一区二区三区| 欧美一二三区精品| 中文字幕av资源一区| 亚洲成人在线观看视频| 国产一区二区久久| 在线亚洲一区观看| 欧美xxxx在线观看| 中文字幕欧美一区| 日韩成人伦理电影在线观看| 国产精品99久久久久久宅男| 在线观看视频一区| 亚洲精品在线三区| 亚洲你懂的在线视频| 亚洲成a人片在线观看中文| 国产精品456露脸| 欧洲精品视频在线观看| 久久这里只有精品首页| 亚洲综合一区二区三区| 国产一区二区剧情av在线| 在线一区二区观看| 国产亚洲精品精华液| 亚洲a一区二区| 成人国产精品视频| 欧美一区二区三区四区视频| xnxx国产精品| 日韩毛片一二三区| 国产成a人亚洲精品| 欧美一二三四在线| 亚洲一区二区三区在线| 国产精品一区二区三区99| 欧美精品电影在线播放| 亚洲四区在线观看| 韩国三级在线一区| 欧美精品 日韩| 亚洲精品第1页| eeuss鲁片一区二区三区在线观看 eeuss鲁片一区二区三区在线看 | 在线看一区二区| 26uuu国产日韩综合| 三级不卡在线观看| 91麻豆.com| 国产亚洲福利社区一区| 奇米精品一区二区三区在线观看| 99久久久久久| 国产婷婷色一区二区三区四区| 日韩二区三区在线观看| 欧美在线不卡一区| 国产精品的网站| 经典三级视频一区| 日韩欧美久久久| 蜜臀国产一区二区三区在线播放| 欧美在线一区二区| 亚洲另类色综合网站| 成人一区二区三区| 久久五月婷婷丁香社区| 麻豆精品视频在线| 日韩欧美一级精品久久| 三级一区在线视频先锋 | 亚洲人成7777| 成人一区二区三区视频 | 亚洲线精品一区二区三区八戒| 色综合久久88色综合天天| 国产精品人人做人人爽人人添| 国产综合色精品一区二区三区| 欧美成人在线直播| 激情成人午夜视频| 久久免费视频色| 日韩中文字幕亚洲一区二区va在线| 在线观看91av| 日本sm残虐另类| 日韩一区二区免费在线观看| 日韩av电影天堂| 欧美tk丨vk视频| 极品少妇xxxx精品少妇偷拍| 精品伦理精品一区| 国产一区二区福利视频| 国产亚洲午夜高清国产拍精品| 国产伦精品一区二区三区免费迷 | 91麻豆精品国产91久久久久久| 性久久久久久久久| 日韩一区二区三区免费看 | 宅男在线国产精品| 欧美aaaaa成人免费观看视频| 久久综合色婷婷| 丁香婷婷综合激情五月色| 亚洲国产精品高清| 色一情一伦一子一伦一区| 亚洲一区二区三区不卡国产欧美| 欧美精品18+| 国产综合久久久久影院| 国产精品天美传媒沈樵| 91首页免费视频| 午夜久久久久久久久 | 精品国产网站在线观看| 成人av影视在线观看| 亚洲日本va在线观看| 欧美三片在线视频观看| 久久国产精品无码网站| 国产欧美视频在线观看| 色吧成人激情小说| 午夜精品一区二区三区三上悠亚| 日韩欧美中文字幕公布| 成人午夜视频在线| 亚洲影院在线观看| 欧美精品一区二区三区一线天视频| 国产91富婆露脸刺激对白| 亚洲啪啪综合av一区二区三区| 69堂精品视频| 久国产精品韩国三级视频| 国产精品无人区| 91精品欧美久久久久久动漫| 成人h精品动漫一区二区三区| 亚洲国产你懂的| 久久久久国产精品人| 成人永久免费视频| 九九精品一区二区|