??
字號:
由外部控制的向左或向右移位的可載入的8Bits移位寄存器 - 山城棒棒兒的MATLAB&FPGA世界 - 歪酷博客 Yculblog.comAD
山城棒棒兒的MATLAB&FPGA世界
揚起生命之帆
邁向成功之路
把握自己的人生之航
每天都充滿新的希望
2006 三月
日一二三四五六
1234
567891011
12131415161718
19202122232425
262728293031
瀏覽全部網志 / 按日期總覽
網志文件夾
» 查看所有日志
» 雜記
» VC
» FPGA
» Matlab
» 數值計算
» 其他學習
» 個人簡介
» 私人空間
» 四方朋友
» MATLAB好書推薦
» 被遺忘的角落
Trackback
站內搜索
友情鏈接
» 歪酷博客
» 管理博客
» 醒醒
» 圈圈
» 一溜煙兒
» 亞丁小屋
» 深圳理邦儀器
» 王茜英語在線翻譯
» 仿真論壇MATLAB板
» MATLAB M-Files DataBase
» mathworks的文件交換站
» 算法網
» 神經網絡在線
» mathtools.net
» MATLAB ToolBox
» Kernel Machines
» Boosting Research
» Chih-Jen Lin's Libsvm
» Support vector machine
» mathworks.com/support/product/
» http://www.sciencedirect.com
» http://cyberr.yculblog.com/archive.html
0189237
« 上一篇: 可控制升計數、降計數及載入的4Bit計數器 下一篇: 用block diagram設計電路圖 »
由外部控制的向左或向右移位的可載入的8Bits移位寄存器
山城棒棒兒軍 @ 2006-02-08 23:16
--*******************************************************************
--* 設計一個可以由外部控制的向左或向右移位的可載入的8Bits移位寄存器
--* 8Bits Shift Right Left
--* And Loadable Register
--* Filename: SRLLO8
--*******************************************************************
library ieee;
use ieee.std_logic_1164.all;
entity SRLLO8 is
port(
clk : in std_logic;
din : in std_logic;
rst : in std_logic;
load: in std_logic;
mode: in std_logic;
dinp: in std_logic_vector(7 downto 0);
q : out std_logic_vector(7 downto 0)
);
end SRLLO8;
architecture SRLLO8_arch of SRLLO8 is
signal qtmp: std_logic_vector(7 downto 0);
begin
process(clk, rst)
begin
if rst = '0' then
qtmp <= "00000000";
elsif clk'event and clk = '1' then
if load = '1' then
qtmp <= dinp;
else
if mode = '1' then
qtmp <= din&qtmp(7 downto 1);
else
qtmp <= qtmp(6 downto 0)&din;
end if;
end if;
end if;
q <= qtmp;
end process;
end SRLLO8_arch;
永久地址 / 評論 / Trackback: http://www.yculblog.com/trackback/c/1113818
你的評論
* 姓名
電郵
個人主頁
不公開
* 評論
* 認證碼 請輸入你看到的數字
請注意遵守中華人民共和國法律法規, 如威脅到本站生存, 將依法向有關部門報告, 同時本站的相關記錄可能成為對你不利的證據.
來自日本永遠可愛的凱蒂貓Hello Kitty 58起
學舞蹈練武術,一流高手豈是只在網上?
百萬大獎送不學英語的人 Dell筆記本,iPod等你來拿
申城舞蹈學校大匯總 個人報名團體價格
辦公室綜合癥爆發,羽毛球、網球緩解壓力
相關法律法規
全國人大常委會關于維護互聯網安全的決定
中華人民共和國計算機信息系統安全保護條例
中華人民共和國計算機信息網絡國際聯網管理暫行規定
計算機信息網絡國際聯網安全保護管理辦法
計算機信息系統國際聯網保密管理規定
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -