?? graycounter_2.v
字號(hào):
//FILE NAME: GrayCounter_2//AUTHOR: jialiang`timescale 1ns/1nsmodule GrayCounter_2( gray_out, bin_addr, enable, rst, clk ); parameter COUNTER_WIDTH = 7 ; output [COUNTER_WIDTH-1:0] gray_out; //'Gray' code count output. output [COUNTER_WIDTH-2:0] bin_addr; input enable; //Count enable. input rst; //Count reset. input clk; reg [COUNTER_WIDTH-1:0] gray_out; reg [COUNTER_WIDTH-1:0] bin; assign bin_addr = bin[COUNTER_WIDTH-2:0]; always @ (posedge clk or negedge rst) begin if (!rst) begin bin <= 1; gray_out <= 0; end else if (enable) begin bin <= bin + 1; gray_out <= (bin>>1)^bin; end end //end always @(posedge clk or negedge rst) endmodule
?? 快捷鍵說(shuō)明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號(hào)
Ctrl + =
減小字號(hào)
Ctrl + -