?? fenpin.vhd
字號:
library ieee;
use ieee.std_logic_1164.all;
entity fenpin is
port(clk_in: in std_logic;
clk_out: out std_logic);
end fenpin;
architecture first of fenpin is
constant fpb: integer:=10;
signal aqi: integer range 0 to fpb;
begin
process(clk_in)
begin
if rising_edge(clk_in) then
if aqi<fpb then
aqi<=aqi+1;
clk_out<='0';
else
aqi<=0;
clk_out<='1';
end if;
end if;
end process;
end first;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -