?? frequency_control.vhdl
字號:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity control is
Port ( Bsignal : in std_logic;
Gate : out std_logic;
Reset : out std_logic;
latch : out std_logic);
end control;
architecture Behavioral of control is
signal G1,G2: std_logic:='0';
begin
process(Bsignal,G1)
begin
if rising_edge(Bsignal) then
G1<=not G1;
end if;
if falling_edge(bsignal) then
G2<=not G1;
end if;
end process;
gate<=G1;
latch<=G2;
reset<=(not bsignal)and(not G1)and (G2);
end Behavioral;
?? 快捷鍵說明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -