亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? vgainterface.vhd

?? 關于VGA顯示接口的一些代碼可以下載
?? VHD
字號:
library ieee;
use ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;

entity vgainterface is
	port(
		reset					:	in	std_logic;
		clock0 					:	in  std_logic;	
		clock2					:	in  std_logic;
--		time_output				:  	in  std_logic_vector(23 downto 0);
		vga_hs_control			:	out std_logic;
		vga_vs_control			:	out std_logic;
		vga_read_dispaly 		:	out std_logic; 
		vga_green_dispaly		:	out std_logic;
		vga_blue_dispaly		:	out std_logic		
	);
end VgaInterface;	 

architecture vgainterface of vgainterface is
-------------------------------------------------------------------
component tsinghua is
	port(
		address		: IN STD_LOGIC_VECTOR (13 DOWNTO 0);
		inclock		: IN STD_LOGIC ;
		q		: OUT STD_LOGIC_VECTOR (0 DOWNTO 0)
	);
end component;
--------------------------------------------------------------------
signal 	clock_25mhz		: 	std_logic;
signal 	vga_h_sync		: 	std_logic;
signal 	vga_v_sync		: 	std_logic;
signal 	vga_read		: 	std_logic; 
signal 	vga_green		: 	std_logic;
signal 	vga_blue		: 	std_logic;
signal 	count_x			: 	std_logic_vector(9 downto 0);	  
signal 	count_y			: 	std_logic_vector(8 downto 0);
signal 	count_z			: 	std_logic_vector(4 downto 0);	
---------------------------------------------------------------------
signal	address			:	std_logic_vector(13 DOWNTO 0);
signal  q				:	std_logic_vector(0 DOWNTO 0);
---------------------------------------------------------------------  

begin 
--------------------------------------
u1:	tsinghua port map(
		address,
		clock0,	
		q		
	);
	
	process(reset,clock2)
	begin 
		if reset='0' then 
			count_z <= (others=>'0');
		elsif(clock2'event and clock2='1')then		
			if count_z=21 then
				count_z <= (others=>'0');
			else
				count_z <= count_z+1 ;
			end if;	
		end if;
	end process;
--------------------------------------	
	process(reset,clock0)
	begin	
		if reset='0' then
			clock_25mhz <='0';
		elsif(clock0'event and clock0='1')then	 
			clock_25mhz <= not clock_25mhz;
		end if;
	end process;
	
	process(reset,clock_25mhz)
	begin 
		if reset='0' then 
			count_x <= (others=>'0');
		elsif(clock_25mhz'event and clock_25mhz='1')then		
			if count_x=799 then
				count_x <= (others=>'0');
			else
				count_x <= count_x+1 ;
			end if;	
		end if;
	end process;

	process(reset,clock_25mhz)
	begin 
		if reset='0' then 
			count_y <= (others=>'0');
		elsif(clock_25mhz'event and clock_25mhz='1')then
			if count_x=799 then
				if count_y=482 then
					count_y <= (others=>'0');
				else
					count_y <= count_y+1 ;
				end if;		
			end if;
		end if;
	end process;   	
	
	process(reset,clock_25mhz)
	begin	
		if reset='0' then
			vga_h_sync <= '0';	
		elsif(clock_25mhz'event and clock_25mhz='1')then
			if(count_x<640)then 
				vga_h_sync <= '1'; 
			else
				vga_h_sync <= '0';	
			end if;
		end if;
	end process;  

	process(reset,clock_25mhz)
	begin	
		if reset='0' then
			vga_v_sync <= '0'; 
		elsif(clock_25mhz'event and clock_25mhz='1')then
			if(count_y<480)then 
				vga_v_sync <= '1';	
			else	
				vga_v_sync <= '0'; 
			end if;
		end if;
	end process;   
 
	process(reset,clock_25mhz)
	begin 
		if reset='0'then
			vga_hs_control <= '0';
			vga_vs_control <= '0';	
		elsif(clock_25mhz'event and clock_25mhz='1')then
			vga_hs_control <= vga_h_sync;
			vga_vs_control <= vga_v_sync;
		end if;
	end process;
	
	process(reset,clock_25mhz)
	begin 
		if reset='0' then
			vga_read_dispaly	<= '0';
		elsif(clock_25mhz'event and clock_25mhz='1')then 
			vga_read_dispaly	<=vga_read and vga_h_sync and vga_v_sync;
		end if;
	end process;
	
	process(reset,clock_25mhz)
	begin 
		if reset='0' then
			vga_green_dispaly	<= '0';
		elsif(clock_25mhz'event and clock_25mhz='1')then 
			vga_green_dispaly	<=vga_green and vga_h_sync and vga_v_sync; 
		end if;
	end process;
	
	process(reset,clock_25mhz)
	begin 
		if reset='0' then
			vga_blue_dispaly	<= '0';
		elsif(clock_25mhz'event and clock_25mhz='1')then 
			vga_blue_dispaly	<=vga_blue and vga_h_sync and vga_v_sync; 
		end if;
	end process;
		
	process(reset,clock_25mhz)
	begin  
		if reset='0' then
			vga_read	<= '0';
			vga_green	<= '0';
			vga_blue	<= '0';		
		elsif(clock_25mhz'event and clock_25mhz='1')then 
			if count_y>128 and count_y<384 then
				if count_x<512 then
					if 	count_x<448 then
						if count_z>0and count_z<4 then
							vga_read	<= '0';
							vga_green	<= '0';
							vga_blue	<= q(0);	
						elsif (count_z>4 or count_z=4)and count_z<7 then
							vga_read	<= '0';
							vga_green	<= q(0);
							vga_blue	<= '0';
						elsif (count_z>7 or count_z=7)and count_z<10 then
							vga_read	<= '0';
							vga_green	<= q(0);
							vga_blue	<= q(0);
						elsif (count_z>10 or count_z=10)and count_z<13 then
							vga_read	<= q(0);
							vga_green	<= '0';
							vga_blue	<= '0';
						elsif (count_z>13 or count_z=13)and count_z<16 then
							vga_read	<= q(0);
							vga_green	<= '0';
							vga_blue	<= q(0);
						elsif (count_z>16 or count_z=16)and count_z<19 then
							vga_read	<= q(0);
							vga_green	<= q(0);
							vga_blue	<= '0';
						else 
							vga_read	<= q(0);
							vga_green	<= q(0);
							vga_blue	<= q(0);					
						end if;																																										 
					else
						vga_read	<= '0';
						vga_green	<= '0';
						vga_blue	<= '0';
					end if;
				end if;
			end if;
		end if;
	end process;
	
	process(reset,clock_25mhz)
	begin
		if reset='0' then
			address <= (others=>'0');
		elsif(clock_25mhz'event and clock_25mhz='1')then 
			if count_y>128 and count_y<384 then	
				if 	count_x>192 and count_x<448 then
					address(6 downto 0)<=count_x(7 downto 1)-96;
					address(13 downto 7)<=count_y(7 downto 1)-64;
				end if;
			end if;
		end if;
	end process;
end vgainterface;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
亚洲乱码国产乱码精品精可以看 | 中文字幕不卡三区| 欧美少妇bbb| 97久久精品人人澡人人爽| 国产成人aaa| 成人少妇影院yyyy| 成人免费视频免费观看| 成人网男人的天堂| 色综合色狠狠天天综合色| 99久久er热在这里只有精品15 | 国产精品夜夜嗨| 高清日韩电视剧大全免费| 成人免费黄色在线| av在线不卡电影| 91成人在线精品| 欧美老肥妇做.爰bbww视频| 91精品婷婷国产综合久久竹菊| 欧美精品亚洲二区| 精品久久一区二区| 中文字幕 久热精品 视频在线 | 丰满少妇在线播放bd日韩电影| 国产不卡在线一区| 一本久久a久久精品亚洲| 欧美日韩情趣电影| 欧美大肚乱孕交hd孕妇| 国产日韩精品一区| 亚洲激情第一区| 日韩av在线免费观看不卡| 国精产品一区一区三区mba桃花 | 久久精品一区二区| 亚洲欧洲精品成人久久奇米网| 亚洲精品va在线观看| 日韩精彩视频在线观看| 国产精品自拍网站| 欧美亚洲愉拍一区二区| 精品美女被调教视频大全网站| 久久久久久久久97黄色工厂| 亚洲视频一区二区在线观看| 亚洲电影视频在线| 成人国产电影网| 5566中文字幕一区二区电影| 欧美激情中文字幕一区二区| 午夜电影一区二区| 91在线云播放| 久久免费视频色| 亚洲国产毛片aaaaa无费看| 激情综合一区二区三区| 欧美亚洲一区二区三区四区| 国产欧美日韩精品一区| 日韩av在线发布| 色综合久久综合网97色综合| 久久影院午夜论| 亚洲mv在线观看| 91亚洲男人天堂| 国产精品―色哟哟| 精品在线你懂的| 欧美群妇大交群中文字幕| 欧美国产禁国产网站cc| 久久国产福利国产秒拍| 69堂精品视频| 亚洲资源在线观看| 91丨九色丨黑人外教| 26uuu另类欧美| 午夜精品爽啪视频| 欧美视频在线播放| 亚洲天堂av一区| 波多野洁衣一区| 久久久久久久久久久久电影| 另类的小说在线视频另类成人小视频在线| 一本大道综合伊人精品热热 | 午夜一区二区三区在线观看| www.在线欧美| 亚洲国产精品99久久久久久久久 | 91亚洲永久精品| 日韩毛片视频在线看| voyeur盗摄精品| 亚洲视频在线观看一区| 在线欧美日韩国产| 亚洲一区免费在线观看| 欧美影片第一页| 亚洲一区二区三区免费视频| 欧美日韩和欧美的一区二区| 亚洲3atv精品一区二区三区| 欧美影院一区二区| 日韩成人dvd| 精品国产一区久久| 国产成人福利片| 欧美国产日产图区| 91影视在线播放| 午夜精品久久一牛影视| 91精品国产色综合久久ai换脸| 青青草原综合久久大伊人精品| 欧美一级二级三级乱码| 国产精品1区二区.| 亚洲欧洲日韩av| 欧美精品第1页| 久久超碰97人人做人人爱| 久久久精品黄色| 波多野结衣一区二区三区| 亚洲最新视频在线播放| 欧美日韩国产成人在线免费| 麻豆精品一区二区| 中文字幕欧美激情| 91福利视频网站| 精品一区二区三区av| 国产欧美日韩综合| 欧美综合欧美视频| 国产主播一区二区三区| 成人免费视频在线观看| 欧美日韩高清影院| 国产乱一区二区| 亚洲女人的天堂| 欧美一区三区四区| 成人爽a毛片一区二区免费| 亚洲国产精品一区二区久久恐怖片| 欧美在线视频你懂得| 久久99国产精品尤物| ●精品国产综合乱码久久久久| 宅男在线国产精品| 99这里只有久久精品视频| 免费精品视频最新在线| 国产亚洲自拍一区| 在线不卡一区二区| 91在线看国产| 国产麻豆成人精品| 亚洲国产裸拍裸体视频在线观看乱了 | 国产精品三级电影| 4438x成人网最大色成网站| 不卡视频在线观看| 国内偷窥港台综合视频在线播放| 亚洲成人精品在线观看| 亚洲视频一区二区在线| 欧美精品一区二区三区在线| 欧美日韩国产另类不卡| 97久久人人超碰| 国产91在线看| 国产成人av电影在线| 国产精品一色哟哟哟| 日韩黄色一级片| 午夜欧美视频在线观看| 亚洲一区二区三区在线播放| 中文字幕亚洲成人| 国产精品久久毛片| 国产亚洲欧美一级| 久久综合久久综合九色| 欧美成人a视频| 日韩欧美成人激情| 欧美大肚乱孕交hd孕妇| 欧美一区二区日韩一区二区| 欧美老肥妇做.爰bbww视频| 色综合天天综合网天天看片| 97aⅴ精品视频一二三区| 99久久精品一区| 成人午夜精品一区二区三区| 国产成人在线视频播放| 国产精品一区二区在线观看网站| 久久精品噜噜噜成人av农村| 国产自产视频一区二区三区| 九九精品一区二区| 国产成人免费视频网站高清观看视频 | 久久精品72免费观看| 七七婷婷婷婷精品国产| 久久www免费人成看片高清| 青青草国产精品97视觉盛宴| 毛片基地黄久久久久久天堂| 韩国成人精品a∨在线观看| 国产精品资源站在线| 成人av在线电影| 欧洲一区二区三区在线| 欧美日韩电影一区| 精品国产亚洲在线| 国产精品免费人成网站| 亚洲伊人色欲综合网| 日韩高清不卡一区二区三区| 久久99久久精品欧美| 国产成人午夜高潮毛片| 色综合久久久网| 91精品国产一区二区三区香蕉| 日韩欧美www| 亚洲视频 欧洲视频| 亚洲不卡av一区二区三区| 精品一区二区三区欧美| 99久久99久久精品免费看蜜桃| 欧美三级在线看| 久久影院视频免费| 亚洲综合图片区| 国产精品538一区二区在线| 欧美自拍偷拍一区| 久久麻豆一区二区| 夜夜嗨av一区二区三区中文字幕 | 国产一区二区三区不卡在线观看| 波多野结衣视频一区| 91精品欧美综合在线观看最新| 国产欧美视频在线观看| 亚洲第一福利视频在线| 成人在线综合网站| 日韩一区二区在线观看视频播放 | 欧美日韩一区二区三区视频 | 9i看片成人免费高清| 欧美mv日韩mv国产网站|