?? main.v
字號:
module main (dout); output[7:0] dout; reg [7:0] dout; integer flag,flag1,q0[0:255],q2[0:255],q1 [0:255]; reg [7:0] mem[0:65535]; integer i,j,k,m,h,l,q,cnt,fileout; initial begin $readmemb("e:\\twf\\image.dat",mem); for(j=0;j<=255;j=j+1) begin q0[j]=mem[j]; q1[j]=mem[j+256]; q2[j]=mem[j+512]; flag=1'b1; cnt=0; $display("memory[%d]=%b",j,mem[j]); end for(m=0;m<=253;m=m+1) begin if(flag==1) begin for (i=2;i<=255;i=i+1) begin h=(q2[i-2]+2*q2[i-1]+q2[i])-(q0[i-2]+2*q0[i-1]+q0[i]); l=(q0[i]+2*q1[i]+q2[i])-(q0[i-2]+2*q1[i-2]+q2[i-2]); if (h<0) h=0-h; if (l<0) l=0-l; q=h+l; if (q>255) dout=8'd1; else dout=8'd0; fileout=$fopen("out.txt"); $fdisplay(fileout,dout); if(i==255) begin flag1=1'b1; cnt=cnt+1; $fdisplay(fileout,"\n"); end end end if(flag1==1) begin for(k=0;k<=255;k=k+1) begin q0[k]=mem[cnt*256+k]; q1[k]=mem[(cnt+1)*256+k]; q2[k]=mem[(cnt+2)*256+k]; end flag1=1'b0; end end $fclose(fileout); end endmodule
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -