亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? uart_recv.v

?? UART16550兼容的串行通訊控制器
?? V
字號:
// synopsys translate_off
`include "timescale.v"
// synopsys translate_on

`include "uart_defines.v"

module uart_recv
(
	clk,
	reset,
	r_lcr,
	r_fcr,
	frame_len,
	enable,
	afc_rts,
	serial_in,
	rf_reset,
	rf_push,
	rf_pop,
	rf_empty,
	rf_full,
	rf_level,
	rf_dataout,
	rf_fifoerr,
	rf_overrun
);

input			clk;
input			reset;
input [7:0]		r_lcr;
input [1:0]		r_fcr;
input [7:0]		frame_len;
input			enable;
output			afc_rts;
input			serial_in;
input			rf_reset;
output			rf_push;
input			rf_pop;
output			rf_empty, rf_full, rf_level;
output [10:0]	rf_dataout;
output			rf_fifoerr;
output			rf_overrun;

reg				afc_rts;
wire			rf_push;
wire			rf_empty, rf_full;
reg				rf_level;
wire [10:0]		rf_dataout;
wire			rf_fifoerr;
reg				rf_overrun;

///////////////////////////////

reg [10:0]		rf_datain;
wire [4:0]		rf_count;
wire [7:0]		rx_data;
wire			err_break, err_frame, err_parity;
reg				rf_afc_high, rf_afc_low;

// ========== Rx FIFO operations

reg [5:0]		fifo_errcnt;
wire			in_err, out_err;
wire			fifo_push, fifo_pop;

assign in_err    = |rf_datain[10:8];
assign out_err   = |rf_dataout[10:8];
assign rf_fifoerr= |fifo_errcnt;
assign fifo_push = rf_push & ~rf_full;
//assign fifo_pop  = rf_pop & ~rf_empty;

//====fifo read signal generate====
wire            var_pop;
reg             var_pop1;
reg				var_pop2;

always @(posedge clk or posedge reset)
begin
	if (reset)
		var_pop1 <= 1'b0;
	else
		var_pop1 <= rf_pop;
end

always @(posedge clk or posedge reset)
begin
	if (reset)
		var_pop2 <= 1'b0;
	else
		var_pop2 <= var_pop1;
end

assign var_pop = {var_pop1,var_pop2} == 2'b01 ? 1'b1 : 1'b0 ;
assign fifo_pop = var_pop & ~rf_empty;
//===David add ending===

scfifo	rx_fifo
(
	.rdreq (fifo_pop),
	.sclr (rf_reset),
	.clock (clk),
	.wrreq (fifo_push),
	.data (rf_datain),
	.usedw (rf_count),
	.empty (rf_empty),
	.q (rf_dataout),
	.full (rf_full),
	.aclr (reset)
	// synopsys translate_off
	,
	.almost_empty (),
	.almost_full ()
	// synopsys translate_on
);
defparam
	rx_fifo.lpm_width = 11,
	rx_fifo.lpm_numwords = 32,
	rx_fifo.lpm_widthu = 5,
	rx_fifo.intended_device_family = "Cyclone II",
	rx_fifo.lpm_type = "scfifo",
	rx_fifo.lpm_showahead = "ON",
	rx_fifo.overflow_checking = "ON",
	rx_fifo.underflow_checking = "ON",
	rx_fifo.use_eab = "ON",
	rx_fifo.add_ram_output_register = "ON",
	rx_fifo.lpm_hint = "RAM_BLOCK_TYPE=M4K";

uart_in uart_shiftin
(
	.clk		(clk),
	.reset		(reset),
	.enable		(enable),
	.data_len	(r_lcr[1:0]),
	.par_ena	(r_lcr[`UART_LC_PE]),
	.par_even	(r_lcr[`UART_LC_EP]),
	.par_stk	(r_lcr[`UART_LC_SP]),
	.frame_len	(frame_len),
	.serial_in	(serial_in),
	.rf_push	(rf_push),
	.rx_data	(rx_data),
	.rx_epar	(err_parity),
	.rx_efrm	(err_frame),
	.rx_ebrk	(err_break)
);

always @(r_lcr[1:0] or rx_data or err_break or err_parity or err_frame)
	case (r_lcr[1:0])
	2'b00: rf_datain = {err_break, err_parity, err_frame, 3'b000, rx_data[4:0]};
	2'b01: rf_datain = {err_break, err_parity, err_frame, 2'b00, rx_data[5:0]};
	2'b10: rf_datain = {err_break, err_parity, err_frame, 1'b0, rx_data[6:0]};
	2'b11: rf_datain = {err_break, err_parity, err_frame,      rx_data[7:0]};
	endcase

always @(posedge clk or posedge reset)
begin
	if( reset )
		fifo_errcnt <= #1 6'd0;
	else if( rf_reset )
		fifo_errcnt <= #1 6'd0;
	else
		casex ({fifo_push, fifo_pop, in_err, out_err})
			4'b01x1, 4'b1101: fifo_errcnt <= #1 fifo_errcnt - 6'd1;
			4'b101x, 4'b1110: fifo_errcnt <= #1 fifo_errcnt + 6'd1;
			default:;
		endcase
end

always @(posedge clk or posedge reset)
begin
	if( reset )
		rf_overrun <= #1 1'b0;
	else if( rf_full && rf_push && !rf_reset )
		rf_overrun <= #1 1'b1;
	else
		rf_overrun <= #1 1'b0;
end

always @( r_fcr or rf_empty or rf_count or rf_full )
	case (r_fcr)
		`UART_FC_1 :
		begin
			rf_level = ~rf_empty;				// >= 1
			rf_afc_high = |rf_count[4:3] | rf_full;		// >= 8
			rf_afc_low  = ~|rf_count[4:1];		// < 2
		end

		`UART_FC_8 :
		begin
			rf_level = |rf_count[4:3];			// >= 8
			rf_afc_high = rf_count[4] | rf_full;			// >= 16
			rf_afc_low  = ~|rf_count[4:3];		// < 8
		end

		`UART_FC_16 :
		begin
			rf_level = rf_count[4];				// >= 16
			rf_afc_high = &rf_count[4:3] | rf_full;		// >= 24
			rf_afc_low  = ~rf_count[4];			// < 16
		end

		`UART_FC_28 :
		begin
			rf_level = &rf_count[4:2];			// >= 28
			rf_afc_high = &rf_count[4:2] | rf_full;		// >= 28
			rf_afc_low  = ~&rf_count[4:3];		// < 24
		end
	endcase

always @( posedge clk or posedge reset )
begin
	if( reset )
		afc_rts <= #1 1'b1;
	else
	begin
		case ({rf_afc_high, rf_afc_low})
			2'b01: afc_rts <= #1 1'b1;
			2'b10: afc_rts <= #1 1'b0;
		default:;
		endcase
	end
end

endmodule

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
国产欧美一区二区精品性色 | 精品一区二区三区在线观看国产| 国模无码大尺度一区二区三区| 99久久久国产精品免费蜜臀| 日韩欧美国产综合在线一区二区三区 | 日本色综合中文字幕| 国产成人免费视频网站高清观看视频| 在线免费观看成人短视频| 久久九九久久九九| 天天影视色香欲综合网老头| 色综合色狠狠综合色| 精品国精品国产| 热久久免费视频| 欧美在线综合视频| 一区二区三区四区国产精品| 顶级嫩模精品视频在线看| 欧美成人女星排行榜| 午夜精品123| 欧美日韩国产123区| 亚洲精品日日夜夜| 91热门视频在线观看| 欧美激情一区二区三区全黄| 国产福利不卡视频| 久久―日本道色综合久久| 日韩主播视频在线| 欧美日韩国产区一| 亚洲午夜精品17c| 欧美午夜影院一区| 亚洲综合激情小说| 欧美性大战xxxxx久久久| 亚洲午夜视频在线观看| 欧美性受极品xxxx喷水| 亚洲综合色婷婷| 色8久久精品久久久久久蜜| 亚洲欧美电影院| 一本一道久久a久久精品综合蜜臀| 中文字幕中文字幕一区| 成人av在线影院| 亚洲视频一区二区在线| 在线视频中文字幕一区二区| 亚洲成精国产精品女| 91.xcao| 激情偷乱视频一区二区三区| 久久久三级国产网站| 成人精品电影在线观看| 国产精品的网站| 欧洲另类一二三四区| 日韩二区三区在线观看| 精品国产99国产精品| 福利一区二区在线观看| 最新不卡av在线| 欧美日本视频在线| 国产一区二区网址| 亚洲精品成人悠悠色影视| 欧美综合天天夜夜久久| 免费在线看成人av| 国产日韩影视精品| 色婷婷综合久色| 久久国产婷婷国产香蕉| 国产精品理论在线观看| 欧美麻豆精品久久久久久| 国产经典欧美精品| 亚洲美女免费在线| 日韩欧美一二三区| 高清久久久久久| 午夜久久电影网| 国产日韩欧美不卡在线| 色综合久久久网| 国产在线精品一区二区夜色 | 国产精品乱人伦| 欧美精品18+| 成人av在线观| 欧美96一区二区免费视频| 欧美国产欧美综合| 日韩欧美中文字幕精品| 91色视频在线| 国产麻豆精品久久一二三| 亚洲国产精品嫩草影院| 国产精品毛片高清在线完整版| 欧美丰满美乳xxx高潮www| av一区二区三区黑人| 裸体在线国模精品偷拍| 一区二区理论电影在线观看| 久久精品一级爱片| 91精品国产一区二区三区| 91麻豆免费在线观看| 国产激情偷乱视频一区二区三区| 一区二区三区毛片| 国产精品久久久久久久久图文区| 日韩欧美卡一卡二| 91麻豆精品国产91久久久资源速度| 99在线精品观看| 国精品**一区二区三区在线蜜桃| 偷拍日韩校园综合在线| 一级做a爱片久久| 亚洲欧美成人一区二区三区| 欧美经典三级视频一区二区三区| 日韩欧美中文一区二区| 欧美精品日韩一区| 欧美群妇大交群的观看方式| 91毛片在线观看| aaa欧美色吧激情视频| 成人黄色在线看| 成人国产在线观看| 成人精品gif动图一区| 成人一道本在线| 国产成人精品影视| 国产综合久久久久影院| 美日韩黄色大片| 婷婷久久综合九色综合伊人色| 亚洲精品水蜜桃| 亚洲免费观看视频| 亚洲激情校园春色| 一区二区在线观看视频| 亚洲综合激情另类小说区| 亚洲国产精品久久人人爱| 亚洲一区欧美一区| 亚洲国产精品久久一线不卡| 亚洲成人精品在线观看| 日av在线不卡| 美女视频黄 久久| 国产在线播放一区三区四| 精品系列免费在线观看| 紧缚捆绑精品一区二区| 国产成人精品免费看| 成人动漫一区二区在线| 91蝌蚪porny九色| 欧美婷婷六月丁香综合色| 欧美日韩不卡一区| 日韩一级黄色片| 久久久久久久久一| 中文字幕在线观看不卡| 一区二区三区美女视频| 美女诱惑一区二区| 国产成人av一区二区三区在线 | 久久亚洲一级片| 国产精品麻豆久久久| 亚洲成av人影院| 老司机精品视频导航| 成人午夜免费电影| 欧美专区日韩专区| 日韩欧美一级在线播放| 国产精品日产欧美久久久久| 亚洲综合色视频| 黑人巨大精品欧美一区| 日本精品视频一区二区| 日韩一区二区免费视频| 国产精品网站在线| 日韩av在线播放中文字幕| 国产不卡视频一区| 欧美日韩精品一区视频| 国产欧美精品一区二区色综合朱莉| 亚洲欧美日韩国产成人精品影院| 免费日韩伦理电影| 色综合一区二区| 日韩欧美国产精品一区| 亚洲乱码国产乱码精品精小说| 肉色丝袜一区二区| 成人午夜av影视| 日韩一区二区三免费高清| 亚洲欧美日韩一区| 国产福利一区二区| 91精品蜜臀在线一区尤物| 亚洲视频在线一区观看| 国产麻豆精品在线| 91精品国产一区二区三区蜜臀| 综合中文字幕亚洲| 精品一区二区免费视频| 欧美日韩国产精品自在自线| 国产精品国产三级国产三级人妇 | 国产精品中文字幕欧美| 欧美日韩一区二区三区在线| 国产欧美日韩另类视频免费观看| 日韩激情视频在线观看| 色就色 综合激情| 中文字幕av一区二区三区免费看| 蜜乳av一区二区| 欧美亚洲高清一区| 亚洲精品免费视频| av爱爱亚洲一区| 国产精品毛片无遮挡高清| 国产一区二区在线观看免费| 日韩欧美高清dvd碟片| 丝袜美腿亚洲一区| 欧美影院精品一区| 亚洲美女视频一区| 91麻豆免费看片| 亚洲你懂的在线视频| 99久久精品免费看国产| 日本一区二区三级电影在线观看 | 久久久久久免费网| 激情综合五月婷婷| 久久亚洲免费视频| 国产一区二区三区在线观看免费 | 欧美成人官网二区| 亚洲va中文字幕| 欧美喷潮久久久xxxxx| 亚洲午夜精品17c| 欧美欧美欧美欧美| 奇米影视一区二区三区|