?? count24.vhd
字號(hào):
---------24進(jìn)制計(jì)數(shù)作為一個(gè)整體
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity count24 is
port(clk4 : in std_logic;
clr4 : in std_logic;
value_lb: out std_logic_vector(3 downto 0);
value_hb: out std_logic_vector(3 downto 0)
);
end entity count24;
------------------------------------------
architecture behave of count24 is
signal temp : std_logic_vector(4 downto 0);
begin
P1: process(clk4,clr4)
begin
if clr4='1' then temp <=(others =>'0');
elsif clk4'event and clk4='1' then
if temp <23 then temp <= temp + 1;
else temp <=(others =>'0');
end if;
else null;
end if;
end process;
P2: process(clk4,temp,clr4)
begin
case temp is
when "00000" => value_lb <= (others =>'0');-------------00
value_hb <= (others =>'0');
when "00001" => value_lb <= "0001";---------------------01
value_hb <="0000";
when "00010" => value_lb <= "0010";---------------------02
value_hb <="0000" ;
when "00011" => value_lb <= "0011";---------------------03
value_hb <="0000" ;
when "00100" => value_lb <= "0100";---------------------04
value_hb <="0000";
when "00101" => value_lb <= "0101";---------------------05
value_hb <="0000" ;
when "00110" => value_lb <= "0110";---------------------06
value_hb <= "0000";
when "00111" => value_lb <= "0111";---------------------07
value_hb <= "0000";
when "01000" => value_lb <= "1000";---------------------08
value_hb <="0000" ;
when "01001" => value_lb <= "1001";---------------------09
value_hb <= "0000";
when "01010" => value_lb <= "0000";---------------------10
value_hb <= "0001";
when "01011" => value_lb <= "0001";---------------------11
value_hb <= "0001";
when "01100" => value_lb <= "0010";---------------------12
value_hb <= "0001";
when "01101" => value_lb <= "0011";---------------------13
value_hb <= "0001";
when "01110" => value_lb <= "0100";---------------------14
value_hb <= "0001";
when "01111" => value_lb <= "0101";----------------------15
value_hb <= "0001";
when "10000" => value_lb <= "0110";----------------------16
value_hb <= "0001";
when "10001" => value_lb <= "0111";----------------------17
value_hb <= "0001";
when "10010" => value_lb <= "1000";----------------------18
value_hb <= "0001";
when "10011" => value_lb <= "1001";----------------------19
value_hb <= "0001";
when "10100" => value_lb <= "0000";----------------------20
value_hb <= "0010";
when "10101" => value_lb <= "0001";----------------------21
value_hb <= "0010";
when "10110" => value_lb <= "0010";----------------------22
value_hb <= "0010";
when "10111" => value_lb <= "0011";----------------------23
value_hb <= "0010";
when others => null;
end case;
end process;
end architecture behave;
?? 快捷鍵說明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號(hào)
Ctrl + =
減小字號(hào)
Ctrl + -