?? p_alarm.vhd.bak
字號(hào):
library ieee;
use ieee.std_logic_1164.all;
package p_alarm is
subtype t_digital is integer range 0 to 9;
subtype t_short is integer range 0 to 65535;
type t_clock_time is array (5 downto 0) of t_digital;
type t_display is array(3 downto 0) of std_logic_vector (6 downto 0);
type seg7 is array(0 to 10) of std_logic_vector(6 downto 0) ;
constant seven_seg : seg7 := (
"0111111", --0
"0110000", --1
"1101101", --2
"1111001", --3
"0110011", --4
"1011011", --5
"0011111", --6
"1110000", --7
"1111111", --8
"1110011", --9
"1000000" -- -
);
end package p_alarm;
?? 快捷鍵說明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號(hào)
Ctrl + =
減小字號(hào)
Ctrl + -