?? top_mult_tb.vhd
字號(hào):
Library ieee ;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity top_mult_tb isend top_mult_tb;architecture top_mult_tb_rtl of top_mult_tb is component top_mult is port ( CLK : in std_logic; Rst : in std_logic; enable : in std_logic; a : in std_logic_vector(7 downto 0); b : in std_logic_vector(7 downto 0); p : in std_logic_vector(7 downto 0); s : out std_logic_vector(15 downto 0)); end component; signal CLK : std_logic := '0'; signal as, bs,pol : std_logic_vector(7 downto 0); signal out1,out2 : std_logic_vector(15 downto 0); type mult_type is array (0 to 14999) of integer; signal END_SIM: BOOLEAN:=FALSE;begin uut : top_mult PORT MAP( CLK => CLK, Rst => '0', enable => '1', a => as, b => bs, p => pol, s => out2 ); pol <= "00011011"; out1 <= as * bs; process --variable start : mult_type := (36,129,9,99,13,141,101,18,1,13, --118,61,237,140,249,198,197,170,229,119, --18,143,242,206,232,197,92,189,45,101, --99,10,128,32,170,157,150,19,13,83, --107,213,2,174,29,207,35,10,202,60); --variable stop : mult_type := (56,134,92,206,199,198,243,195,95,71, --137,126,69,93,145,110,143,60,201,227, --220,44,95,17,209,255,49,102,74,149, --37,23,169,195,151,156,246,40,167,110, --5,168,164,238,25,156,152,235,203,184); variable start: mult_type := (108,59,127,127,80,127,127,124,127,127,118,127,102,127,120,127,127,127,122,92,127,72,127,127,98,127,127,62,68,127,110,127,127,127,127,127,127,78,125,119,62,127,84,127,94,127,127,89,39,123,85,127,127,127,127,100,127,85,125,124,126,113,127,51,127,127,127,127,127,127,127,116,111,114,67,116,127,127,127,112,127,127,127,86,127,127,85,96,127,121,127,127,100,103,127,88,127,127,93,115,78,38,127,105,127,127,127,86,88,111,78,84,127,127,77,124,81,72,115,127,127,127,79,107,115,77,73,127,126,100,127,127,86,127,127,127,127,98,74,123,113,92,127,127,104,92,116,127,92,78,121,123,127,102,120,108,123,127,102,72,127,90,127,101,127,127,118,44,127,127,127,63,123,98,85,76,127,109,127,111,107,127,127,127,71,85,127,110,71,127,127,127,127,127,127,112,80,117,127,81,127,102,127,82,127,46,106,127,113,127,101,33,76,127,121,127,127,41,100,98,85,118,127,124,127,98,126,94,127,116,127,127,123,127,127,127,125,127,127,81,127,126,115,127,127,105,127,93,127,31,127,127,127,127,115,120,75,59,98,127,104,72,127,97,125,126,116,127,115,59,85,127,75,112,88,79,85,110,127,121,127,116,65,126,127,127,127,127,104,127,125,103,127,115,69,127,127,81,127,127,90,127,120,79,127,125,127,97,100,127,127,127,115,127,127,48,59,103,118,126,127,97,97,118,125,127,127,127,56,127,127,127,127,127,99,120,28,127,127,102,100,83,124,127,113,106,124,119,87,127,127,127,106,81,127,127,96,122,45,127,87,98,127,89,109,106,127,127,83,81,127,127,127,76,117,118,127,103,87,108,127,127,127,115,127,106,127,127,77,99,127,127,127,57,127,127,100,86,118,84,123,127,127,127,104,127,127,127,127,105,127,127,127,84,127,127,49,127,127,127,127,127,89,34,127,127,127,110,64,127,71,92,117,127,127,72,127,127,127,77,94,95,121,87,87,31,92,127,118,119,121,127,106,98,127,109,127,87,120,127,90,127,116,123,111,43,120,127,127,111,99,127,110,105,127,127,25,127,85,127,29,117,127,109,118,65,81,93,127,102,127,127,51,108,100,127,117,115,96,123,68,127,73,99,120,127,123,127,127,127,127,93,107,70,111,107,127,127,127,114,127,127,127,127,127,127,127,127,127,127,113,127,127,127,104,127,119,112,127,127,103,66,124,127,127,127,113,127,94,75,127,70,74,102,66,127,115,74,90,86,123,29,98,70,127,127,127,122,20,127,91,115,113,79,127,127,112,127,127,81,125,81,127,127,105,103,96,127,116,120,75,127,127,127,69,127,68,127,58,127,127,127,127,95,127,127,83,127,127,74,88,127,126,127,127,127,94,127,119,93,127,127,88,127,127,127,127,127,119,127,118,127,105,98,115,78,127,127,124,36,105,127,127,127,124,62,127,121,127,127,122,127,112,113,110,88,127,127,103,106,127,127,127,58,84,95,127,127,108,127,113,127,126,127,127,117,121,127,94,116,122,85,127,80,97,79,127,99,57,127,127,127,127,91,96,127,127,101,127,127,111,92,127,53,63,127,123,127,116,127,127,119,105,127,91,127,105,127,127,93,127,127,84,127,124,77,115,119,82,48,89,126,57,127,102,43,127,127,127,127,125,127,127,127,127,127,127,127,86,88,125,127,90,127,127,127,127,73,127,127,105,127,102,127,127,127,127,20,127,127,125,127,127,127,127,127,60,86,127,87,101,127,127,127,72,127,60,68,127,120,81,114,102,90,127,66,127,45,127,127,127,98,127,83,127,41,127,96,95,127,112,127,121,127,107,127,127,127,127,114,71,98,127,86,127,127,127,127,127,127,127,85,127,127,115,127,127,92,127,127,127,57,127,61,127,81,127,127,127,127,89,121,94,59,90,127,127,109,127,127,127,127,127,35,127,127,127,103,127,127,127,127,127,123,127,127,69,120,106,57,109,101,127,127,127,127,120,122,127,127,127,72,127,117,127,114,127,119,67,127,127,127,98,127,36,127,126,61,77,127,127,86,108,127,107,127,127,127,127,61,60,127,96,127,70,127,127,127,85,112,127,62,98,83,127,127,127,96,127,117,92,127,127,80,98,115,127,76,102,106,127,127,127,127,127,127,66,109,125,127,85,99,127,78,95,127,127,84,127,94,113,102,127,127,42,111,127,64,117,109,127,91,127,105,69,110,107,114,114,62,127,127,121,96,127,110,127,55,127,127,127,127,43,96,127,127,104,127,77,118,114,127,104,90,90,127,96,127,127,127,120,127,127,36,127,111,126,127,127,61,66,127,89,61,111,110,79,81,127,49,127,127,115,125,89,84,127,127,127,121,127,127,127,111,127,119,98,127,124,127,127,64,5,127,85,127,127,87,127,127,102,127,105,127,127,42,127,127,127,95,115,71,75,90,127,127,127,106,39,127,96,55,127,127,127,115,109,118,119,127,127,127,127,127,112,127,127,92,24,31,112,101,109,69,127,127,97,127,60,83,116,74,127,127,127,70,127,23,104,127,127,80,127,114,127,111,127,127,127,114,127,118,123,127,94,94,125,97,66,127,115,63,110,120,32,71,53,127,120,127,127,127,99,127,72,97,99,127,54,70,127,127,127,108,124,127,127,123,127,123,94,127,127,59,82,118,127,89,100,127,72,127,127,100,127,127,127,127,127,126,123,86,96,125,127,102,127,93,127,73,91,107,127,127,127,109,127,78,122,127,114,119,127,127,127,127,127,71,127,103,84,127,127,118,111,90,52,61,79,109,127,127,76,127,127,127,105,125,105,127,127,127,127,119,127,47,104,50,121,73,99,90,120,127,127,127,103,127,95,88,69,50,123,127,127,125,127,103,127,117,69,114,103,121,110,92,56,108,64,122,127,127,127,127,127,127,127,118,127,99,95,102,127,127,52,127,127,64,111,127,95,122,127,127,70,85,100,127,127,127,127,127,120,122,94,127,127,127,115,127,127,104,127,127,127,101,127,127,31,82,113,45,127,127,127,81,127,127,127,127,127,123,81,127,72,127,127,127,113,127,106,127,127,88,127,127,127,127,99,127,125,127,89,48,113,127,127,59,49,108,127,127,113,127,127,105,81,62,127,127,127,108,127,127,127,126,61,127,127,95,127,127,127,127,127,125,72,104,127,89,127,127,127,127,123,103,127,127,127,98,127,85,127,126,127,127,43,127,82,63,127,110,110,127,108,103,127,93,115,127,94,125,127,127,127,74,127,127,92,102,127,125,123,63,127,107,127,127,127,127,127,70,127,127,68,64,123,127,92,103,125,76,127,127,127,127,95,127,127,119,127,127,127,127,127,123,127,127,127,109,127,127,127,127,127,81,108,97,127,105,42,99,127,113,127,60,104,127,127,108,106,127,127,127,127,127,127,127,73,105,127,47,95,28,99,121,127,102,3,127,109,127,72,127,127,107,127,127,127,127,127,127,102,115,127,127,127,93,106,127,127,123,127,54,127,123,110,127,127,127,127,127,116,97,102,115,127,64,110,127,127,87,122,127,127,127,122,98,110,126,127,127,127,127,127,127,127,127,127,127,127,127,88,89,127,127,127,118,127,101,127,127,127,91,127,92,127,127,127,123,127,55,127,95,58,127,127,127,82,127,110,127,120,127,127,107,127,122,127,127,127,102,92,127,127,93,75,127,127,94,76,127,127,127,127,127,127,127,56,125,127,99,127,111,127,100,127,96,119,127,127,125,88,67,127,127,127,51,127,127,127,127,127,102,84,114,127,127,72,127,125,127,127,85,86,127,127,116,117,127,100,119,127,127,127,124,125,110,104,127,73,114,22,127,127,127,85,123,127,55,55,99,127,127,127,58,127,127,122,127,52,66,127,116,60,113,94,127,124,127,127,85,127,121,127,127,127,122,127,101,57,127,108,127,127,106,127,127,127,127,86,127,127,23,42,127,91,77,127,90,77,127,97,80,69,102,127,66,122,125,112,127,127,127,76,127,127,103,127,127,127,127,117,127,105,120,122,127,127,125,111,116,79,127,127,85,127,106,127,127,116,120,79,125,127,127,127,127,111,74,97,103,127,72,121,82,16,127,91,127,114,127,127,127,100,80,127,110,110,127,127,127,127,127,79,127,102,87,127,127,105,127,127,127,105,73,101,103,127,127,127,126,122,116,91,127,120,127,127,76,127,94,96,96,113,65,127,101,127,127,127,110,127,80,127,126,119,98,86,124,127,114,69,127,127,84,12,127,127,51,101,127,103,115,126,124,106,79,127,127,127,127,127,127,127,126,127,127,127,127,127,127,98,126,82,127,119,96,86,127,127,127,127,122,127,127,127,57,127,106,96,40,53,124,122,78,96,127,118,123,127,127,81,127,127,114,127,127,112,115,100,90,127,125,13,120,127,127,119,102,127,104,127,48,127,127,127,127,93,104,127,106,56,89,127,127,127,127,127,127,33,127,127,108,101,127,106,110,127,127,127,94,103,127,100,69,96,127,127,127,102,86,127,127,127,127,115,127,121,126,108,118,127,127,71,127,127,124,127,43,94,107,127,127,62,113,98,96,127,127,127,127,127,127,73,125,127,127,127,127,127,43,32,111,127,127,119,124,127,127,112,113,127,127,77,16,104,127,102,127,127,127,121,125,86,84,127,127,127,102,127,127,127,96,65,117,127,113,127,69,127,127,127,127,127,127,69,89,68,67,127,117,113,127,127,125,127,105,84,124,106,127,116,87,120,127,127,84,127,127,127,127,127,121,115,127,123,111,95,116,109,127,92,127,124,7,127,127,110,21,87,127,127,103,127,113,126,70,127,127,53,14,127,127,127,108,126,118,127,127,127,94,101,127,42,127,125,104,79,127,127,127,107,89,126,127,63,127,127,123,124,127,99,124,127,113,81,127,127,127,127,89,127,125,95,92,122,127,127,101,109,127,98,112,127,60,127,99,127,127,127,127,88,127,110,102,127,87,110,127,127,127,127,127,113,111,114,57,124,127,127,127,127,83,103,51,127,104,102,127,127,127,119,127,127,127,57,127,127,118,93,127,54,124,127,108,50,110,81,127,97,117,127,127,106,127,121,127,125,73,82,127,67,127,127,127,127,127,99,127,127,127,127,98,124,64,83,117,59,58,121,114,71,127,110,127,127,127,127,96,127,101,127,127,116,121,127,127,127,109,112,91,95,127,127,117,127,127,127,74,127,79,103,127,127,127,92,127,124,127,98,63,101,127,127,102,127,125,127,100,127,79,127,127,127,127,99,127,110,127,127,106,113,127,112,127,127,100,123,127,123,127,120,127,127,125,127,127,100,127,127,87,80,66,87,124,41,52,64,116,127,124,104,123,127,127,127,127,65,31,44,127,111,100,117,127,127,77,127,127,83,45,127,127,127,124,125,105,127,127,127,127,127,121,127,108,101,49,69,127,111,86,127,127,109,127,80,127,126,127,127,98,127,127,96,127,78,113,64,101,117,127,119,93,126,79,100,127,127,94,122,99,98,117,108,127,127,114,112,127,127,127,127,81,127,115,127,107,127,115,103,69,83,127,127,85,121,127,108,127,127,101,76,127,127,71,127,127,127,116,127,100,126,127,127,127,127,122,127,127,112,127,127,115,118,127,126,127,119,87,125,64,119,127,127,127,79,77,127,127,127,103,127,127,43,127,121,127,127,-14,80,67,94,51,115,89,127,98,127,70,49,86,127,127,101,127,121,127,114,104,127,127,117,18,127,87,127,127,19,126,127,127,127,127,127,127,127,127,119,126,127,124,94,84,127,127,127,127,97,127,86,121,72,127,127,127,121,127,83,74,84,127,127,113,106,83,127,127,127,127,127,127,127,86,100,127,127,127,127,127,113,124,127,127,127,127,59,127,104,127,125,127,106,127,127,127,127,127,85,72,127,127,56,127,102,127,127,127,99,124,127,127,127,127,127,127,97,127,127,127,125,122,127,127,127,98,127,127,127,-6,127,55,91,63,94,73,127,127,90,99,77,127,78,122,91,110,127,127,72,82,95,95,127,102,91,30,110,127,127,127,100,94,127,21,127,127,87,127,93,127,127,127,120,91,91,84,100,37,127,127,118,92,127,102,113,127,127,74,127,101,77,21,71,127,97,52,127,127,119,127,127,106,98,127,122,110,110,127,127,127,127,127,127,107,98,127,127,127,127,95,91,35,127,86,127,127,127,63,54,127,88,127,127,127,127,127,127,127,108,105,120,108,127,127,127,127,116,127,85,127,72,94,87,127,113,94,127,124,110,77,127,127,127,127,76,127,77,114,127,70,73,127,127,127,51,127,127,127,124,127,126,88,127,67,91,127,127,127,127,127,127,94,127,127,127,127,127,127,127,127,33,53,86,71,95,111,98,60,111,127,113,81,127,114,127,127,35,127,127,103,127,127,127,70,101,100,87,121,127,127,127,127,80,118,127,127,127,92,57,118,127,126,127,123,127,127,80,78,81,127,127,96,127,74,119,127,118,127,127,116,82,50,111,94,78,127,95,127,127,114,127,127,67,101,104,66,125,127,127,127,127,83,127,127,119,127,64,127,54,62,
?? 快捷鍵說明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號(hào)
Ctrl + =
減小字號(hào)
Ctrl + -