?? fire_d.vhd
字號:
LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity fire_d is
port(A0,A1,A2,A3,A4,A5,A6,A7,A8,A9: in std_logic;
WAIT_T : in std_logic;
READY : in std_logic;
SETUP : in std_logic;
FIRE : in std_logic;
OSCC : in std_logic;
A,B,C,D,E,F,G:out std_logic;
LT,RT,LB: out std_logic
);
end fire_d;
architecture fire_d_arc of fire_d is
component se7
port(A,B,C,D : in std_logic;
E,F,G,H,I,J,K : out std_logic);
end component;
component direct
port(A : in std_logic;
B : out std_logic);
end component;
component kand2
port(A,B : in std_logic;
C : out std_logic);
end component;
component kinvert
port(A : in std_logic;
B : out std_logic);
end component;
component ksy
port(A,B : in std_logic;
C : out std_logic);
end component;
component ken1
port(A,B : in std_logic;
C : out std_logic);
end component;
component kf_f
port(A,B : in std_logic;
C : out std_logic);
end component;
component kcoun101
port(A : in std_logic;
B : out std_logic);
end component;
component kcoun102
port(A : in std_logic;
B : out std_logic);
end component;
component k4mux
port(A,B : in std_logic;
C,D,E,F : out std_logic);
end component;
component control
port(A,B,C,D,E,F,G,CLK : in std_logic;
H,I,J,K,L,M : out std_logic);
end component;
component kcount
port(A,B : in std_logic;
C,D,E : out std_logic);
end component;
component kbian
port(A,B,C,D,E,F,G,H,I,J : in std_logic;
T,X,Y,Z,W : out std_logic);
end component;
component kcom
port(A,B,C,D,E,F,G,H : in std_logic;
T : out std_logic);
end component;
signal E1,E2,E3,E4 : std_logic;
signal B1,B2,B3,B4 : std_logic;
signal C1,C2,C3,C4 : std_logic;
signal C11,C22,C33,C44 :std_logic;
signal A00,A10,A20,A30,A40,A50,A60,A70,A80,A90 : std_logic;
signal A01,A11,A21,A31,A41,A51,A61,A71,A81,A91 : std_logic;
signal EN,DATA_IN,WAIT_L,SLT,SLB,CNTe3,RESET_CNT,CNP ,Dep: std_logic;
signal RRT,RT1 : std_logic;
signal DATA_IN1,DATA_IN2 : std_logic;
signal CT0,CT1 : std_logic;
signal OSC1,OSC2 : std_logic;
begin
U0: kinvert port map(WAIT_T,C1);
U1: kinvert port map(SETUP,C2);
U2: kinvert port map(READY,C3);
U3: kinvert port map(RRT,RT1);
U4: ken1 port map(FIRE,EN,C4);
U5: ken1 port map(A0,EN,A00);
U6: ken1 port map(A1,EN,A10);
U7: ken1 port map(A2,EN,A20);
U8: ken1 port map(A3,EN,A30);
U9: ken1 port map(A4,EN,A40);
U10: ken1 port map(A5,EN,A50);
U11: ken1 port map(A6,EN,A60);
U12: ken1 port map(A7,EN,A70);
U13: ken1 port map(A8,EN,A80);
U14: ken1 port map(A9,EN,A90);
U15: ksy port map(C1,OSC2,C11);
U16: ksy port map(C2,OSC2,C22);
U17: ksy port map(C3,OSC2,C33);
U18: ksy port map(C4,OSC2,C44);
U19: ksy port map(A00,OSC2,A01);
U20: ksy port map(A10,OSC2,A11);
U21: ksy port map(A20,OSC2,A21);
U22: ksy port map(A30,OSC2,A31);
U23: ksy port map(A40,OSC2,A41);
U24: ksy port map(A50,OSC2,A51);
U25: ksy port map(A60,OSC2,A61);
U26: ksy port map(A70,OSC2,A71);
U27: ksy port map(A80,OSC2,A81);
U28: ksy port map(A90,OSC2,A91);
U29: kbian port map(A01,A11,A21,A31,A41,A51,A61,A71,A81,A91,
DATA_IN1,B1,B2,B3,B4);
U30: kcom port map(B1,B2,B3,B4,E1,E2,E3,E4,Dep);
U31:control port map(C11,C22,C33,C44,DATA_IN,Dep,CNTe3,OSC2,EN,CNP,RESET_CNT,
SLB,SLT,WAIT_L);
U32: k4mux port map(CT0,CT1,E1,E2,E3,E4);
U33: kcount port map(CNP,RESET_CNT,CT0,CT1,CNTe3);
U34: kf_f port map(WAIT_L,SLT,LT);
U35: kf_f port map(WAIT_L,SLB,RRT);
U36: kcoun102 port map(OSC1,OSC2);
U37: kand2 port map(RT1,OSC1,LB);
U38: direct port map(RRT,RT);
U39: direct port map(DATA_IN1,DATA_IN2);
U40: direct port map(DATA_IN2,DATA_IN);
U41: se7 port map(B1,B2,B3,B4,A,B,C,D,E,F,G);
U42: kcoun101 port map(OSCC,OSC1);
end fire_d_arc;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -