?? display2.vhd
字號:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
--計價時的輸出與調整時的輸出之間的切換
entity display2 is
port(
flag0 :in std_logic; --計價標志
switch :in std_logic; --計價時的信息與時間信息的切換 switch為1時顯示時間
pout1 : in std_logic_vector(3 downto 0); --diplay1 模塊輸出的結果,即要調整的數據
pout2 : in std_logic_vector(3 downto 0);
pout3 : in std_logic_vector(3 downto 0);
pout4 : in std_logic_vector(3 downto 0);
time1 : in std_logic_vector(3 downto 0); --計價狀態時的輸出數據
time2 : in std_logic_vector(3 downto 0);
time3 : in std_logic_vector(3 downto 0);
time4 : in std_logic_vector(3 downto 0);
jijia1 : in std_logic_vector(3 downto 0);
jijia2 : in std_logic_vector(3 downto 0);
jijia3 : in std_logic_vector(3 downto 0);
km1 : in std_logic_vector(3 downto 0);
km2 : in std_logic_vector(3 downto 0);
wait1 : in std_logic_vector(3 downto 0);
wait2 : in std_logic_vector(3 downto 0);
out1 :out std_logic_vector(3 downto 0);
out2 :out std_logic_vector(3 downto 0);
out3 :out std_logic_vector(3 downto 0);
out4 :out std_logic_vector(3 downto 0);
out5 :out std_logic_vector(3 downto 0);
out6 :out std_logic_vector(3 downto 0);
out7 :out std_logic_vector(3 downto 0)
);
end entity;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -