?? fenpeiqi.vhd
字號:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity fenpeiqi is
--實現(xiàn)按鍵的兩種功能變換
port
( an1 : in std_logic;
an2 : in std_logic;
flag0 : in std_logic;
up : out std_logic;
sure : out std_logic;
star : out std_logic;
wait_en : out std_logic
);
end entity;
architecture arc of fenpeiqi is
signal buff1 : std_logic; --緩沖信號
signal buff2 : std_logic;
begin
buff1<=an1;
buff2<=an2;
process(buff1,buff2,flag0) is
begin
if(flag0='1')then
star<=buff1; --計價時用的信號
wait_en<=buff2;
up<='0';
sure<='0';
elsif(flag0='0')then
up<=buff1; --調(diào)整時用的信號
sure<=buff2;
star<='0';
wait_en<='0';
end if;
end process;
end arc;
?? 快捷鍵說明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -