?? reg32b.vhd
字號:
library ieee;--32位寄存器模塊
use ieee.std_logic_1164.all;
entity reg32b is
port(load,rst32: in std_logic;
din: in std_logic_vector(31 downto 0);
dout: out std_logic_vector(31 downto 0));
end reg32b;
architecture behav of reg32b is
begin
process(load,din,rst32)
begin
if rst32='0' then dout<=(others=>'0');
elsif load'event and load ='1' then dout<=din;
end if;
end process;
end behav;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -