亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? timer_counter.vhd

?? AVR IP CORE 可以直接用于工程的開發和 已經通過編譯和仿真
?? VHD
?? 第 1 頁 / 共 3 頁
字號:
--**********************************************************************************************-- Timers/Counters Block Peripheral for the AVR Core-- Version 1.01 -- Modified 20.05.2003-- Synchronizer for EXT1/EXT2/Tosc1 inputs was added-- Designed by Ruslan Lepetenok--**********************************************************************************************library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;use WORK.AVRuCPackage.all;entity Timer_Counter is port(	                   -- AVR Control               ireset         : in std_logic;               cp2	          : in std_logic;               adr            : in std_logic_vector(5 downto 0);               dbus_in        : in std_logic_vector(7 downto 0);               dbus_out       : out std_logic_vector(7 downto 0);               iore           : in std_logic;               iowe           : in std_logic;               out_en         : out std_logic;                        --Timer/Counters               EXT1           : in std_logic;               EXT2           : in std_logic;			   Tosc1	      : in std_logic;			   OC0_PWM0       : out std_logic;			   OC1A_PWM1A     : out std_logic;			   OC1B_PWM1B     : out std_logic;			   OC2_PWM2       : out std_logic;			   		   			           --IRQ               TC0OvfIRQ      : out std_logic;			   TC0OvfIRQ_Ack  : in std_logic;			   TC0CmpIRQ      : out std_logic;			   TC0CmpIRQ_Ack  : in std_logic;			   TC2OvfIRQ      : out std_logic;			   TC2OvfIRQ_Ack  : in std_logic;			   TC2CmpIRQ      : out std_logic;			   TC2CmpIRQ_Ack  : in std_logic;			   TC1OvfIRQ      : out std_logic;			   TC1OvfIRQ_Ack  : in std_logic;			   TC1CmpAIRQ     : out std_logic;			   TC1CmpAIRQ_Ack : in std_logic;			   TC1CmpBIRQ     : out std_logic;			   TC1CmpBIRQ_Ack : in std_logic;			   			   TC1ICIRQ       : out std_logic;			   TC1ICIRQ_Ack   : in std_logic);end Timer_Counter;architecture rtl of Timer_Counter is-- Copies of the external signalssignal OC0_PWM0_Int   :	std_logic := '0';signal OC2_PWM2_Int   :	std_logic := '0';-- Registerssignal TCCR0  : std_logic_vector(7 downto 0) := (others => '0');signal TCCR1A : std_logic_vector(7 downto 0) := (others => '0');signal TCCR1B : std_logic_vector(7 downto 0) := (others => '0');signal TCCR2  : std_logic_vector(7 downto 0) := (others => '0');signal ASSR   : std_logic_vector(7 downto 0) := (others => '0'); -- Asynchronous status register (for TCNT0)signal TIMSK  : std_logic_vector(7 downto 0) := (others => '0');signal TIFR   : std_logic_vector(7 downto 0) := (others => '0');signal TCNT0  : std_logic_vector(7 downto 0) := (others => '0');signal TCNT2  : std_logic_vector(7 downto 0) := (others => '0');signal OCR0   : std_logic_vector(7 downto 0) := (others => '0');signal OCR2   : std_logic_vector(7 downto 0) := (others => '0');signal TCNT1H : std_logic_vector(7 downto 0) := (others => '0');signal TCNT1L : std_logic_vector(7 downto 0) := (others => '0');signal OCR1AH : std_logic_vector(7 downto 0) := (others => '0');signal OCR1AL : std_logic_vector(7 downto 0) := (others => '0');signal OCR1BH : std_logic_vector(7 downto 0) := (others => '0');signal OCR1BL : std_logic_vector(7 downto 0) := (others => '0');signal ICR1AH : std_logic_vector(7 downto 0) := (others => '0');signal ICR1AL : std_logic_vector(7 downto 0) := (others => '0');signal TCCR0_Sel  : std_logic := '0';signal TCCR1A_Sel : std_logic := '0';signal TCCR1B_Sel : std_logic := '0';signal TCCR2_Sel  : std_logic := '0';signal ASSR_Sel   : std_logic := '0';signal TIMSK_Sel  : std_logic := '0';signal TIFR_Sel   : std_logic := '0';signal TCNT0_Sel  : std_logic := '0';signal TCNT2_Sel  : std_logic := '0';signal OCR0_Sel   : std_logic := '0';signal OCR2_Sel   : std_logic := '0';signal TCNT1H_Sel : std_logic := '0';signal TCNT1L_Sel : std_logic := '0';signal OCR1AH_Sel : std_logic := '0';signal OCR1AL_Sel : std_logic := '0';signal OCR1BH_Sel : std_logic := '0';signal OCR1BL_Sel : std_logic := '0';signal ICR1AH_Sel : std_logic := '0';signal ICR1AL_Sel : std_logic := '0';  -- TCCR0 Bitsalias CS00  : std_logic is TCCR0(0);alias CS01  : std_logic is TCCR0(1);alias CS02  : std_logic is TCCR0(2);alias CTC0  : std_logic is TCCR0(3);alias COM00 : std_logic is TCCR0(4);alias COM01 : std_logic is TCCR0(5);alias PWM0  : std_logic is TCCR0(6);  -- TCCR1A Bitsalias PWM10  : std_logic is TCCR1A(0);alias PWM11  : std_logic is TCCR1A(1);alias COM1B0 : std_logic is TCCR1A(4);alias COM1B1 : std_logic is TCCR1A(5);alias COM1A0 : std_logic is TCCR1A(4);alias COM1A1 : std_logic is TCCR1A(5);  -- TCCR1B Bitsalias CS10  : std_logic is TCCR1A(0);alias CS11  : std_logic is TCCR1A(1);alias CS12  : std_logic is TCCR1A(2);alias CTC1  : std_logic is TCCR1A(3);alias ICES1 : std_logic is TCCR1A(6);alias ICNC1 : std_logic is TCCR1A(7);  -- TCCR2 Bitsalias CS20  : std_logic is TCCR2(0);alias CS21  : std_logic is TCCR2(1);alias CS22  : std_logic is TCCR2(2);alias CTC2  : std_logic is TCCR2(3);alias COM20 : std_logic is TCCR2(4);alias COM21 : std_logic is TCCR2(5);alias PWM2  : std_logic is TCCR2(6);-- ASSR bitsalias TCR0UB  : std_logic is ASSR(0);alias OCR0UB  : std_logic is ASSR(1);alias TCN0UB  : std_logic is ASSR(2);alias AS0     : std_logic is ASSR(3);-- TIMSK bitsalias TOIE0     : std_logic is TIMSK(0);alias OCIE0     : std_logic is TIMSK(1);alias TOIE1     : std_logic is TIMSK(2);alias OCIE1B    : std_logic is TIMSK(3);alias OCIE1A    : std_logic is TIMSK(4);alias TICIE1    : std_logic is TIMSK(5);alias TOIE2     : std_logic is TIMSK(6);alias OCIE2     : std_logic is TIMSK(7);-- TIFR bitsalias TOV0     : std_logic is TIFR(0);alias OCF0     : std_logic is TIFR(1);alias TOV1     : std_logic is TIFR(2);alias OCF1B    : std_logic is TIFR(3);alias OCF1A    : std_logic is TIFR(4);alias ICF1     : std_logic is TIFR(5);alias TOV2     : std_logic is TIFR(6);alias OCF2     : std_logic is TIFR(7);-- Prescaler1 signalssignal CK8    : std_logic := '0';signal CK64   : std_logic := '0';signal CK256  : std_logic := '0';signal CK1024 : std_logic := '0';signal Pre1Cnt : std_logic_vector(9 downto 0) := (others => '0'); -- Prescaler 1 counter (10-bit)signal EXT1RE : std_logic := '0'; -- Rising edge of external input EXT1 (for TCNT1 only)signal EXT1FE : std_logic := '0'; -- Falling edge of external input EXT1 (for TCNT1 only)signal EXT2RE : std_logic := '0'; -- Rising edge of external input EXT2	(for TCNT2 only)signal EXT2FE : std_logic := '0'; -- Falling edge of external input EXT2 (for TCNT2 only)-- Risign/falling edge detectors	signal EXT1Latched : std_logic := '0';	signal EXT2Latched : std_logic := '0';	-- Prescalers outputs signal TCNT0_En : std_logic := '0'; -- Output of the prescaler 0signal TCNT1_En : std_logic := '0';	-- Output of the prescaler 1signal TCNT2_En : std_logic := '0';	-- Output of the prescaler 1-- Prescaler0 signals	signal PCK0     : std_logic := '0';signal PCK08    : std_logic := '0';signal PCK032   : std_logic := '0';signal PCK064   : std_logic := '0';signal PCK0128  : std_logic := '0';signal PCK0256  : std_logic := '0';signal PCK01024 : std_logic := '0';signal Tosc1RE      : std_logic := '0'; -- Rising edge detector for TOSC1 inputsignal Tosc1Latched : std_logic := '0';signal Pre0Cnt      : std_logic_vector(9 downto 0) := (others => '0'); -- Prescaler 0 counter (10-bit)signal PCK0_Del     : std_logic := '0';-- Timer/counter 0 signalssignal TCNT0_Tmp     : std_logic_vector(7 downto 0) := (others => '0');signal TCNT0_In      : std_logic_vector(7 downto 0) := (others => '0');signal TCNT0_Imm_In  : std_logic_vector(7 downto 0) := (others => '0'); -- Immediate data input signal TCCR0_Tmp     : std_logic_vector(7 downto 0) := (others => '0');signal TCCR0_In      : std_logic_vector(7 downto 0) := (others => '0');signal OCR0_Tmp      : std_logic_vector(7 downto 0) := (others => '0');signal OCR0_In       : std_logic_vector(7 downto 0) := (others => '0');signal TCNT0_Cnt_Dir : std_logic := '0'; -- Count up(0) down (1)signal TCNT0_Clr     : std_logic := '0'; -- Clear (syncronously) TCNT0signal TCNT0_Ld_Imm  : std_logic := '0'; -- Load immediate value (syncronously) TCNT0signal TCNT0_Cmp_Out : std_logic := '0'; -- Output of the comparatorsignal TCNT0_Inc     : std_logic := '0'; -- Increment (not load) took place-- For asynchronous mode onlysignal TCR0UB_Tmp     : std_logic := '0';signal OCR0UB_Tmp     : std_logic := '0';signal TCN0UB_Tmp     : std_logic := '0';-- Timer/counter 2 signalssignal TCNT2_In      : std_logic_vector(7 downto 0) := (others => '0');signal OCR2_Tmp      : std_logic_vector(7 downto 0) := (others => '0');signal TCNT2_Cnt_Dir : std_logic := '0'; -- Count up(0) down (1)signal TCNT2_Clr     : std_logic := '0'; -- Clear (syncronously) TCNT0signal TCNT2_Imm_In  : std_logic_vector(7 downto 0) := (others => '0'); -- Immediate data input signal TCCR2_Tmp     : std_logic_vector(7 downto 0) := (others => '0');signal OCR2_In       : std_logic_vector(7 downto 0) := (others => '0');signal TCNT2_Ld_Imm  : std_logic := '0'; -- Load immediate value (syncronously) TCNT2signal TCNT2_Cmp_Out : std_logic := '0'; -- Output of the comparatorsignal TCNT2_Inc     : std_logic := '0'; -- Increment (not load) took place-- Synchronizer signalssignal EXT1SA  : std_logic := '0';signal EXT1SB  : std_logic := '0'; -- Output of the synchronizer for EXT1signal EXT2SA  : std_logic := '0';signal EXT2SB  : std_logic := '0'; -- Output of the synchronizer for EXT1signal Tosc1SA : std_logic := '0';signal Tosc1SB : std_logic := '0'; -- Output of the synchronizer for Tosc1-- TBD-- Timer/counter 1 signals-- TBD-- Additonal signals (These signals are added in order to emulate the behaviour of the real chip )-- !!! TBD !!!--signal PORTB4_Out : std_logic := '0';--signal PORTB5_Out : std_logic := '0';--signal PORTB6_Out : std_logic := '0';--signal PORTB7_Out : std_logic := '0';begin	-- SynchronizersSyncDFFs:process(cp2,ireset)	begin	 if ireset='0' then      -- Reset  EXT1SA <= '0';    EXT1SB <= '0';     EXT2SA <= '0';     EXT2SB <= '0';     Tosc1SA <= '0';    Tosc1SB <= '0';     elsif cp2='1' and cp2'event then -- Clock    EXT1SA <= EXT1;      EXT1SB <= EXT1SA;       EXT2SA <= EXT2;       EXT2SB <= EXT2SA;       Tosc1SA <= Tosc1;      Tosc1SB <= Tosc1SA; end if;	 end process;	

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
首页欧美精品中文字幕| 91精品国产aⅴ一区二区| 这里只有精品免费| 国产精品美女久久久久aⅴ国产馆| 亚洲午夜羞羞片| 91在线观看视频| 久久精品在这里| 久久99这里只有精品| 欧美日韩一区二区三区在线| 综合色中文字幕| 国产乱码精品一区二区三区忘忧草 | 91免费小视频| 国产亚洲一区二区在线观看| 日韩电影免费一区| 欧美午夜免费电影| 亚洲免费看黄网站| jizzjizzjizz欧美| 国产精品免费aⅴ片在线观看| 久久99精品视频| 在线综合视频播放| 日本亚洲免费观看| 日韩欧美一区二区不卡| 午夜精品福利一区二区三区av| 成人av一区二区三区| 中文字幕精品—区二区四季| 国产盗摄一区二区三区| 久久久蜜桃精品| 国产精品456| 国产精品入口麻豆原神| 国产成人精品亚洲777人妖| 国产视频视频一区| 大桥未久av一区二区三区中文| 久久久国产精品午夜一区ai换脸| 国产另类ts人妖一区二区| 国产亚洲精品精华液| 成人午夜电影小说| 中文字幕的久久| 91在线观看一区二区| 亚洲黄色小视频| 欧美人成免费网站| 美女网站一区二区| 久久一区二区视频| 成人国产在线观看| 一区二区三区高清| 日韩一区二区中文字幕| 看片网站欧美日韩| 亚洲国产精品v| 色婷婷精品久久二区二区蜜臀av | 秋霞成人午夜伦在线观看| 日韩一区二区精品在线观看| 久久99国产乱子伦精品免费| 久久欧美一区二区| 色综合久久久久综合99| 日韩国产精品大片| 久久精品免费在线观看| www.亚洲人| 婷婷综合久久一区二区三区| 欧美电视剧在线看免费| 国产aⅴ精品一区二区三区色成熟| 国产精品久久久久久久久久久免费看 | 3d动漫精品啪啪一区二区竹菊| 奇米精品一区二区三区四区| 国产亚洲污的网站| aaa国产一区| 免费在线看成人av| 成人欧美一区二区三区| 欧美一区二区私人影院日本| 国产精品一区二区果冻传媒| 亚洲观看高清完整版在线观看| 精品国产免费视频| 欧美性受xxxx| 国产美女一区二区三区| 亚洲一区在线播放| 国产人成亚洲第一网站在线播放| 欧美中文字幕久久| 粉嫩欧美一区二区三区高清影视| 丝袜美腿亚洲色图| 亚洲欧美福利一区二区| 精品国产污网站| 欧美另类高清zo欧美| 风间由美一区二区av101| 爽爽淫人综合网网站| 亚洲人成精品久久久久久| 精品国产免费人成电影在线观看四季| 色婷婷一区二区| 岛国一区二区三区| 国产精品伊人色| 麻豆极品一区二区三区| 亚洲永久免费视频| 亚洲国产成人私人影院tom| 日韩欧美在线综合网| 欧美日韩综合不卡| 91年精品国产| 91免费小视频| 91一区二区在线观看| www.视频一区| 国产成人aaa| 国产乱子伦一区二区三区国色天香| 日韩精品91亚洲二区在线观看| 亚洲免费大片在线观看| 亚洲视频你懂的| 亚洲欧美日韩国产综合| 中文字幕中文在线不卡住| 久久久欧美精品sm网站| 久久综合色婷婷| 精品久久久久99| 精品91自产拍在线观看一区| 精品精品国产高清一毛片一天堂| 69久久夜色精品国产69蝌蚪网| 欧美日韩国产bt| 欧美高清dvd| 欧美精品在线观看播放| 91麻豆精品国产91久久久久 | 一本到高清视频免费精品| av中文字幕一区| 99这里只有精品| 欧美中文一区二区三区| 欧美日韩久久久| 欧美一区日本一区韩国一区| 91麻豆精品91久久久久同性| 欧美一区二区精品在线| 宅男噜噜噜66一区二区66| 欧美成人福利视频| 精品裸体舞一区二区三区| 精品久久久久久无| 欧美激情一二三区| 中文字幕在线不卡一区二区三区| 亚洲丝袜自拍清纯另类| 亚洲成人在线网站| 久久99久久99| 成人免费视频app| 91黄色激情网站| 欧美精品丝袜中出| 精品久久久久久久久久久久久久久久久 | 在线视频国内一区二区| 在线不卡免费av| 久久亚洲私人国产精品va媚药| 欧美激情一区二区在线| 亚洲综合一区二区三区| 久久精品99国产精品| 成人a区在线观看| 欧美日韩亚州综合| 26uuu久久天堂性欧美| 国产精品电影院| 蜜桃久久久久久久| 色先锋资源久久综合| 日韩精品一区二区三区中文不卡| 国产欧美日韩在线看| 亚洲18色成人| 国产精品一区二区久久不卡| 日本伦理一区二区| 2022国产精品视频| 亚洲成人免费看| 成人午夜精品在线| 91精品国产综合久久精品图片 | 亚洲成av人片观看| 国产一区二区在线视频| 欧美视频中文一区二区三区在线观看| 日韩午夜精品视频| 亚洲一区欧美一区| 成人毛片视频在线观看| 91精品国产欧美一区二区成人| 欧美国产精品中文字幕| 热久久免费视频| 欧美一a一片一级一片| 久久久影院官网| 男女男精品视频网| 欧洲一区二区三区在线| 国产精品福利影院| 国产一区二区三区四| 欧美一区二区在线不卡| 亚洲卡通欧美制服中文| 高清在线不卡av| 精品国产一区二区在线观看| 亚洲国产色一区| 91在线观看污| 中文字幕视频一区| 粉嫩av一区二区三区| 久久久久久夜精品精品免费| 日韩精品91亚洲二区在线观看| 91久久香蕉国产日韩欧美9色| 亚洲国产精品黑人久久久| 精品亚洲aⅴ乱码一区二区三区| 欧美肥胖老妇做爰| 亚洲成人激情社区| 欧美日韩中文另类| 亚洲激情第一区| 91蜜桃传媒精品久久久一区二区| 欧美国产成人精品| 成人免费高清在线| 国产精品毛片久久久久久久| 国产成人在线视频网址| 日韩三级中文字幕| 美女视频黄免费的久久| 日韩欧美国产三级电影视频| 久久国产精品99久久久久久老狼| 欧美一级二级三级蜜桃| 麻豆精品在线播放| 久久久久亚洲蜜桃| av日韩在线网站|