?? time_sim.vhd
字號:
-- Xilinx VHDL produced by program ngd2vhdl, Version M1.4.12-- Date: Tue Jan 6 16:48:26 1998-- Design file: time_sim.nga-- Device: 4005epc84-2----- CELL ROC ------- Model for Reset-On-Configuration Celllibrary IEEE;use IEEE.std_logic_1164.all;use IEEE.VITAL_Timing.all;entity ROC is generic ( InstancePath: STRING := "*"; WIDTH : Time := 0 ns) ; port( O : out std_ulogic := '1' ) ; attribute VITAL_LEVEL0 of ROC : entity is TRUE ;end ROC ;architecture ROC_V of ROC isattribute VITAL_LEVEL0 of ROC_V : architecture is TRUE ;begin ONE_SHOT: process begin if (WIDTH <= 0 ns) then assert FALSE report "*** Error: a positive value of WIDTH must be specified ***" severity failure; else wait for WIDTH; O <= '0' ; end if; wait; end process ONE_SHOT ;end ROC_V ;configuration CFG_ROC_V of ROC is for ROC_V end for ;end CFG_ROC_V ;----- CELL TOC ------- Model for Tristate-On-Configuration Celllibrary IEEE;use IEEE.std_logic_1164.all;use IEEE.VITAL_Timing.all;entity TOC is generic ( InstancePath: STRING := "*"); port( O : out std_ulogic := '0' ) ; attribute VITAL_LEVEL0 of TOC : entity is TRUE ;end TOC ;architecture TOC_V of TOC isattribute VITAL_LEVEL0 of TOC_V : architecture is TRUE ;begin ONE_SHOT: process begin wait; end process ONE_SHOT ;end TOC_V ;configuration CFG_TOC_V of TOC is for TOC_V end for ;end CFG_TOC_V ;library IEEE;use IEEE.STD_LOGIC_1164.ALL;library SIMPRIM;use SIMPRIM.VCOMPONENTS.ALL;use SIMPRIM.VPACKAGE.ALL;entity GATE_CLOCK is port ( IN1 : in STD_LOGIC := 'X' ; IN2 : in STD_LOGIC := 'X' ; DATA : in STD_LOGIC := 'X' ; CLK : in STD_LOGIC := 'X' ; LOAD : in STD_LOGIC := 'X' ; OUT1 : out STD_LOGIC ) ;end GATE_CLOCK ;architecture STRUCTURE of GATE_CLOCK is component ROC port ( O : out STD_ULOGIC ) ; end component ; component TOC port ( O : out STD_ULOGIC ) ; end component ; signal N25 , N26 , N27 , N28 , N29 , N51 , N_1 , N49 , N50 , OUT1_REG_GSR_OR , U36_1I20_GTS_TRI , U31_CLKIO_BUFSIG , U32_CLKIO_BUFSIG , U34_CLKIO_BUFSIG , U37_2_0 , U36_1I20_GTS_TRI_2_INV , GND , GSR , GTS : STD_LOGIC ; begin U33 : X_BUF port map ( I => DATA , O => N27 ) ; U35 : X_BUF port map ( I => LOAD , O => N29 ) ; OUT1_REG : X_FF port map ( I => N27 , CLK => N50 , CE => N29 , SET => GND , RST => OUT1_REG_GSR_OR , O => N51 ) ; U39 : X_INV port map ( I => N_1 , O => N50 ) ; N49_ZERO : X_ZERO port map ( O => N49 ) ; OUT1_REG_GSR_OR_0 : X_OR2 port map ( I0 => N49 , I1 => GSR , O => OUT1_REG_GSR_OR ) ; U36_1I20 : X_BUF port map ( I => N51 , O => U36_1I20_GTS_TRI ) ; U36_1I20_GTS_TRI_1 : X_TRI port map ( I => U36_1I20_GTS_TRI , O => OUT1 , CTL => U36_1I20_GTS_TRI_2_INV ) ; U31_CLKBUF : X_CKBUF port map ( I => U31_CLKIO_BUFSIG , O => N25 ) ; U31_CLKIO_BUF : X_BUF port map ( I => IN1 , O => U31_CLKIO_BUFSIG ) ; U32_CLKBUF : X_CKBUF port map ( I => U32_CLKIO_BUFSIG , O => N26 ) ; U32_CLKIO_BUF : X_BUF port map ( I => IN2 , O => U32_CLKIO_BUFSIG ) ; U34_CLKBUF : X_CKBUF port map ( I => U34_CLKIO_BUFSIG , O => N28 ) ; U34_CLKIO_BUF : X_BUF port map ( I => CLK , O => U34_CLKIO_BUFSIG ) ; U37_N_1_2_0 : X_AND2 port map ( I0 => N25 , I1 => N26 , O => U37_2_0 ) ; U37_N_1 : X_AND2 port map ( I0 => U37_2_0 , I1 => N28 , O => N_1 ) ; U36_1I20_GTS_TRI_2_INV_2 : X_INV port map ( I => GTS , O => U36_1I20_GTS_TRI_2_INV ) ; GND_3 : X_ZERO port map ( O => GND ) ; ROC_NGD2VHDL : ROC port map ( O => GSR ) ; TOC_NGD2VHDL : TOC port map ( O => GTS ) ;end STRUCTURE ;
?? 快捷鍵說明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -