亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? jtag_control.vhd

?? 實用的程序代碼
?? VHD
?? 第 1 頁 / 共 3 頁
字號:
-------------------------------------------------------------------------------
-- $Id: jtag_control.vhd,v 1.1 2004/08/12 01:42:47 khangdao Exp $
-------------------------------------------------------------------------------
-- jtag_control.vhd - Entity and architecture
--
--  ***************************************************************************
--  **  Copyright(C) 2003 by Xilinx, Inc. All rights reserved.               **
--  **                                                                       **
--  **  This text contains proprietary, confidential                         **
--  **  information of Xilinx, Inc. , is distributed by                      **
--  **  under license from Xilinx, Inc., and may be used,                    **
--  **  copied and/or disclosed only pursuant to the terms                   **
--  **  of a valid license agreement with Xilinx, Inc.                       **
--  **                                                                       **
--  **  Unmodified source code is guaranteed to place and route,             **
--  **  function and run at speed according to the datasheet                 **
--  **  specification. Source code is provided "as-is", with no              **
--  **  obligation on the part of Xilinx to provide support.                 **
--  **                                                                       **
--  **  Xilinx Hotline support of source code IP shall only include          **
--  **  standard level Xilinx Hotline support, and will only address         **
--  **  issues and questions related to the standard released Netlist        **
--  **  version of the core (and thus indirectly, the original core source). **
--  **                                                                       **
--  **  The Xilinx Support Hotline does not have access to source            **
--  **  code and therefore cannot answer specific questions related          **
--  **  to source HDL. The Xilinx Support Hotline will only be able          **
--  **  to confirm the problem in the Netlist version of the core.           **
--  **                                                                       **
--  **  This copyright and support notice must be retained as part           **
--  **  of this text at all times.                                           **
--  ***************************************************************************
--
-------------------------------------------------------------------------------
-- Filename:        jtag_control.vhd
--
-- Description:     
--                  
-- VHDL-Standard:   VHDL'93
-------------------------------------------------------------------------------
-- Structure:   
--              jtag_control.vhd
--
-------------------------------------------------------------------------------
-- Author:          goran
-- Revision:        $Revision: 1.1 $
-- Date:            $Date: 2004/08/12 01:42:47 $
--
-- History:
--   goran  2003-02-13    First Version
--
-------------------------------------------------------------------------------
-- Naming Conventions:
--      active low signals:                     "*_n"
--      clock signals:                          "clk", "clk_div#", "clk_#x" 
--      reset signals:                          "rst", "rst_n" 
--      generics:                               "C_*" 
--      user defined types:                     "*_TYPE" 
--      state machine next state:               "*_ns" 
--      state machine current state:            "*_cs" 
--      combinatorial signals:                  "*_com" 
--      pipelined or register delay signals:    "*_d#" 
--      counter signals:                        "*cnt*"
--      clock enable signals:                   "*_ce" 
--      internal version of output port         "*_i"
--      device pins:                            "*_pin" 
--      ports:                                  - Names begin with Uppercase 
--      processes:                              "*_PROCESS" 
--      component instantiations:               "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity JTAG_CONTROL is
  generic (
    C_MB_DBG_PORTS  : integer;
    C_USE_UART      : integer;
    C_UART_WIDTH    : integer;
    C_USE_FSL       : integer := 0;
    C_FSL_DATA_SIZE : integer := 32;
    C_EN_WIDTH      : integer := 1
    );
  port (
    -- Global signals
    OPB_Clk : in std_logic;
    OPB_Rst : in std_logic;

    Clear_Ext_BRK : in  std_logic;
    Ext_BRK       : out std_logic;
    Ext_NM_BRK    : out std_logic := '0';
    Debug_SYS_Rst : out std_logic := '0';
    Debug_Rst     : out std_logic := '0';

    Read_RX_FIFO    : in  std_logic;
    Reset_RX_FIFO   : in  std_logic;
    RX_Data         : out std_logic_vector(0 to C_UART_WIDTH-1);
    RX_Data_Present : out std_logic;
    RX_BUFFER_FULL  : out std_logic;

    Write_TX_FIFO   : in  std_logic;
    Reset_TX_FIFO   : in  std_logic;
    TX_Data         : in  std_logic_vector(0 to C_UART_WIDTH-1);
    TX_Buffer_Full  : out std_logic;
    TX_Buffer_Empty : out std_logic;

    -- MDM signals
    TDI    : in  std_logic;
    RESET  : in  std_logic;
    UPDATE : in  std_logic;
    SHIFT  : in  std_logic;
    SEL    : in  std_logic;
    DRCK   : in  std_logic;
    TDO    : out std_logic;

    -- MicroBlaze Debug Signals
    MB_Debug_Enabled : out std_logic_vector(0 to C_EN_WIDTH-1);
    Dbg_Clk          : out std_logic;
    Dbg_TDI          : out std_logic;
    Dbg_TDO          : in  std_logic;
    Dbg_Reg_En       : out std_logic_vector(0 to 4);
    Dbg_Capture      : out std_logic;
    Dbg_Update       : out std_logic;

    FSL0_S_Clk     : out std_logic;
    FSL0_S_Read    : out std_logic;
    FSL0_S_Data    : in  std_logic_vector(0 to C_FSL_DATA_SIZE-1);
    FSL0_S_Control : in  std_logic;
    FSL0_S_Exists  : in  std_logic;
    FSL0_M_Clk     : out std_logic;
    FSL0_M_Write   : out std_logic;
    FSL0_M_Data    : out std_logic_vector(0 to C_FSL_DATA_SIZE-1);
    FSL0_M_Control : out std_logic;
    FSL0_M_Full    : in  std_logic;

    jtag_clk : out std_logic;
    trig     : out std_logic_vector(7 downto 0);
    data     : out std_logic_vector(31 downto 0)

    );

end entity JTAG_CONTROL;

library unisim;
use unisim.vcomponents.all;

library Opb_mdm_v2_01_a;
use Opb_mdm_v2_01_a.SRL_FIFO;

architecture IMP of JTAG_CONTROL is

  component SRL_FIFO is
    generic (
      C_DATA_BITS : natural;
      C_DEPTH     : natural);
    port (
      Clk         : in  std_logic;
      Reset       : in  std_logic;
      FIFO_Write  : in  std_logic;
      Data_In     : in  std_logic_vector(0 to C_DATA_BITS-1);
      FIFO_Read   : in  std_logic;
      Data_Out    : out std_logic_vector(0 to C_DATA_BITS-1);
      FIFO_Full   : out std_logic;
      Data_Exists : out std_logic);
  end component SRL_FIFO;

  -- component FDC is
  --   port (
  --     Q   : out std_logic;
  --     C   : in  std_logic;
  --     D   : in  std_logic;
  --     CLR : in  std_logic
  --     );
  -- end component FDC;

  -- component FDRE is
  --   port (
  --     Q  : out std_logic;
  --     C  : in  std_logic;
  --     CE : in  std_logic;
  --     D  : in  std_logic;
  --     R  : in  std_logic
  --     );
  -- end component FDRE;

  -- component FDE is
  --   port (
  --     Q  : out std_logic;
  --     C  : in  std_logic;
  --     CE : in  std_logic;
  --     D  : in  std_logic
  --     );
  -- end component FDE;

  -- component FDR is
  --   port (
  --     Q : out std_logic;
  --     C : in  std_logic;
  --     D : in  std_logic;
  --     R : in  std_logic
  --     );
  -- end component FDR;

  -- component FDRSE is
  --   port (
  --     Q  : out std_logic;
  --     C  : in  std_logic;
  --     CE : in  std_logic;
  --     D  : in  std_logic;
  --     R  : in  std_logic;
  --     S  : in  std_logic
  --     );
  -- end component FDRSE;

  -- component SRL16E is
  --   -- pragma translate_off
  --   generic (
  --     INIT : bit_vector(15 downto 0)
  --     );
  --   -- pragma translate_on
  --   port (
  --     CE  : in  std_logic;
  --     D   : in  std_logic;
  --     Clk : in  std_logic;
  --     A0  : in  std_logic;
  --     A1  : in  std_logic;
  --     A2  : in  std_logic;
  --     A3  : in  std_logic;
  --     Q   : out std_logic);
  -- end component SRL16E;
  
  function log2(x : natural) return integer is
    variable i  : integer := 0;   
  begin 
    if x = 0 then return 0;
    else
      while 2**i < x loop
        i := i+1;
      end loop;
      return i;
    end if;
  end function log2;

  constant No_MicroBlazes : std_logic_vector(7 downto 0) := std_logic_vector(to_unsigned(C_MB_DBG_PORTS, 8));
  constant No_HW_PORTS    : std_logic_vector(3 downto 0) := "0000";
  constant VERSION_I      : std_logic_vector(3 downto 0) := "0011";

  constant Config_Init_Word_S : std_logic_vector(15 downto 0) := (No_MicroBlazes &
                                                                  No_HW_PORTS &
                                                                  VERSION_I);
  constant Config_Init_Word : bit_vector(15 downto 0) := to_bitvector(Config_Init_Word_S);

  constant HAVE_UART    : std_logic_vector(0 to 0) := std_logic_vector(to_unsigned(C_USE_UART, 1));
  constant UART_WIDTH   : std_logic_vector(0 to 4) := std_logic_vector(to_unsigned(C_UART_WIDTH-1, 5));
  constant HAVE_FSL     : std_logic_vector(0 to 0) := std_logic_vector(to_unsigned(C_USE_FSL, 1));
  constant MAGIC_STRING : std_logic_vector(0 to 7) := "01000010";
  
  constant Config_Init_Word2_S : std_logic_vector(15 downto 0) := (MAGIC_STRING &
                                                                   HAVE_FSL & '0' &
                                                                   HAVE_UART & UART_WIDTH);
  constant Config_Init_Word2 : bit_vector(15 downto 0) := to_bitvector(Config_Init_Word2_S);
  
  function itohex (int : natural; len : natural) return string is
    type     table is array (0 to 15) of character;
    constant LUT : table :=
      ('0', '1', '2', '3', '4', '5', '6', '7', '8', '9', 'A', 'B', 'C', 'D', 'E', 'F');
    variable str        : string(1 to len);
    variable rest, temp : natural;
  begin
    temp := int;
    for I in Len downto 1 loop
      rest   := temp mod 16;
      temp   := temp / 16;
      str(I) := LUT(rest);
    end loop;  -- I
    return str;
  end function itohex;

  signal config_TDO_1 : std_logic;
  signal config_TDO_2 : std_logic;
  signal config_TDO   : std_logic;

  attribute INIT : string;

  attribute INIT of SRL16E_1 : label is itohex(to_integer(unsigned(Config_Init_Word_S)), 4);
  attribute INIT of SRL16E_2 : label is itohex(to_integer(unsigned(Config_Init_Word2_S)), 4);

  -----------------------------------------------------------------------------
  -- JTAG signals
  -----------------------------------------------------------------------------
  signal tdi_reg : std_logic;

  signal data_cmd   : std_logic;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
亚洲三级免费观看| 精品一二三四区| 久久精品国产精品亚洲红杏| 成人精品鲁一区一区二区| 欧美视频在线一区| 国产精品国产自产拍高清av王其| 天天影视涩香欲综合网 | 91国在线观看| 国产人成亚洲第一网站在线播放| 午夜国产精品一区| 欧洲生活片亚洲生活在线观看| 国产欧美1区2区3区| 激情六月婷婷久久| 精品日韩欧美在线| 久久精品国产一区二区三区免费看| 欧美综合久久久| 亚洲乱码中文字幕综合| 国产成人av一区二区三区在线| 91精品国产色综合久久| 一个色在线综合| 99视频一区二区| 国产精品国产三级国产专播品爱网| 国内精品久久久久影院薰衣草| 日韩欧美专区在线| 视频一区欧美日韩| 欧美高清激情brazzers| 天堂久久一区二区三区| 欧美日韩免费电影| 亚洲18色成人| 日韩一二三四区| 久久国产精品99精品国产| 9191国产精品| 另类小说视频一区二区| 精品入口麻豆88视频| 伦理电影国产精品| 久久久综合网站| 丁香五精品蜜臀久久久久99网站| 国产肉丝袜一区二区| av成人老司机| 亚洲一二三四在线| 884aa四虎影成人精品一区| 日韩在线一区二区| 日韩免费高清视频| 国产高清精品在线| 亚洲青青青在线视频| 欧美日韩国产bt| 免费成人小视频| 日本一区二区免费在线观看视频| 成人午夜电影网站| 亚洲国产精品综合小说图片区| 欧美私人免费视频| 久久精品国产亚洲高清剧情介绍| 久久夜色精品国产欧美乱极品| 粉嫩av亚洲一区二区图片| 亚洲色图.com| 在线不卡一区二区| 国产不卡一区视频| 亚洲激情中文1区| 精品国偷自产国产一区| 粉嫩av一区二区三区| 亚洲一二三四区| 久久综合狠狠综合久久激情| 91视频精品在这里| 日韩av中文字幕一区二区三区| 久久久蜜桃精品| 日本久久电影网| 国产剧情一区二区三区| 亚洲卡通欧美制服中文| 日韩欧美色综合网站| 成人aaaa免费全部观看| 免费黄网站欧美| 成人免费在线视频| 日韩欧美一级片| 欧美在线观看禁18| 国产精品1024久久| 日韩av午夜在线观看| 中文字幕日韩一区| 精品国产乱码久久| 欧美日韩一二区| 97久久精品人人做人人爽50路 | 日韩一区二区三区观看| 成人国产电影网| 久久se精品一区精品二区| 一区二区三区在线高清| 国产亚洲成av人在线观看导航| 在线免费视频一区二区| 国产成人午夜片在线观看高清观看| 亚洲国产另类精品专区| 亚洲视频一区二区在线| 久久久91精品国产一区二区三区| 欧美蜜桃一区二区三区| 97久久精品人人爽人人爽蜜臀 | 亚洲国产电影在线观看| 日韩欧美国产1| 911国产精品| 欧美三级三级三级爽爽爽| 色久优优欧美色久优优| 粗大黑人巨茎大战欧美成人| 韩国成人福利片在线播放| 免费日本视频一区| 日韩和欧美一区二区| 亚洲一区二区在线视频| 亚洲精品一二三区| 亚洲欧洲制服丝袜| 亚洲精品国产无套在线观| 欧美国产乱子伦| 日本一区二区动态图| 久久久久久久综合色一本| 精品电影一区二区三区| 欧美一区二区福利在线| 日韩欧美成人一区二区| 日韩美女主播在线视频一区二区三区| 欧美日本在线一区| 欧美另类videos死尸| 91精品啪在线观看国产60岁| 欧美美女一区二区在线观看| 91精品国产手机| 欧美电影精品一区二区| 欧美精品一区二区三区在线播放| 日韩精品中文字幕在线一区| 精品美女一区二区三区| 久久久五月婷婷| 国产精品视频你懂的| 自拍偷拍亚洲激情| 亚洲国产精品一区二区久久恐怖片| 亚洲最大成人综合| 婷婷中文字幕综合| 黄页网站大全一区二区| 成人黄色免费短视频| 日本韩国精品在线| 欧美精品一卡两卡| 久久色中文字幕| 亚洲人成精品久久久久| 性做久久久久久免费观看| 老色鬼精品视频在线观看播放| 国产麻豆精品在线观看| 91浏览器在线视频| 91精品国产综合久久福利软件| 2欧美一区二区三区在线观看视频| 国产喷白浆一区二区三区| 洋洋成人永久网站入口| 久久成人麻豆午夜电影| 本田岬高潮一区二区三区| 欧美无人高清视频在线观看| 日韩欧美一区二区不卡| 中文字幕亚洲电影| 日韩av一区二区在线影视| 丁香婷婷深情五月亚洲| 欧美亚洲国产bt| 精品国产第一区二区三区观看体验| 国产精品色在线观看| 亚洲第一精品在线| 丁香桃色午夜亚洲一区二区三区| 欧美性xxxxxxxx| 国产精品久久久久影院老司| 石原莉奈在线亚洲二区| av成人免费在线| 精品国产一区a| 亚洲18色成人| 色综合天天性综合| 久久久777精品电影网影网 | 国产丶欧美丶日本不卡视频| 日本电影欧美片| 久久综合色婷婷| 日韩制服丝袜av| 在线看一区二区| 国产精品久久一级| 国模无码大尺度一区二区三区| 在线观看日韩电影| 国产精品国产三级国产aⅴ中文| 日韩 欧美一区二区三区| 色婷婷国产精品| 精品动漫一区二区三区在线观看| 亚洲第四色夜色| 一本大道久久精品懂色aⅴ| 久久久不卡网国产精品一区| 日韩在线一二三区| 欧美日韩精品福利| 亚洲综合色区另类av| 91丨porny丨首页| 国产精品日日摸夜夜摸av| 狠狠色丁香婷婷综合久久片| 欧美一级精品在线| 婷婷综合另类小说色区| 欧美色偷偷大香| 亚洲伊人伊色伊影伊综合网| 一本大道久久a久久综合婷婷| 国产精品美女久久久久久2018| 国产精品一品视频| 亚洲精品在线观看网站| 久久99久久久欧美国产| 欧美一区二区三区性视频| 婷婷开心久久网| 337p亚洲精品色噜噜噜| 日本午夜一区二区| 日韩美女天天操| 国产成人综合在线播放| 日本一区免费视频| 成人在线一区二区三区| 国产精品国产三级国产普通话99|