亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來(lái)到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關(guān)于我們
? 蟲蟲下載站

?? jtag_control.vhd

?? 實(shí)用的程序代碼
?? VHD
?? 第 1 頁(yè) / 共 3 頁(yè)
字號(hào):
        end if;
      end if;
      execute_2 := execute_1;
      execute_1 := execute;
    end if;
  end process Execute_FIFO_Command;

  Debug_SYS_Rst <= Debug_SYS_Rst_i;
  Debug_Rst     <= Debug_Rst_i;
  Ext_NM_BRK    <= Ext_NM_BRK_i;
  
  Ext_BRK_FDRSE : FDRSE
    port map (
      Q  => ext_BRK_i,                  -- [out std_logic]
      C  => OPB_Clk,                    -- [in  std_logic]
      CE => '0',                        -- [in  std_logic]
      D  => '0',                        -- [in  std_logic]
      R  => Clear_Ext_BRK,              -- [in  std_logic]
      S  => set_Ext_BRK);               -- [in std_logic]

  Ext_BRK <= ext_BRK_i;

  Dbg_Clk <= DRCK;

  -----------------------------------------------------------------------------
  -- Handling the Which_MB register
  -----------------------------------------------------------------------------

  More_Than_One: if (C_MB_DBG_PORTS > 1) generate
    signal Which_MB_Reg_En : std_logic;
    signal Which_MB_Reg    : std_logic_vector(0 to 7);
  begin

    Which_MB_Reg_En  <= '1' when Dbg_Reg_En_I(0 to 4) = MDM_WRITE_WHICH_MB else '0';

    Which_MB_Reg_Handle : process (DRCK) is
      variable temp : std_logic_vector(Which_MB_Reg'range) := (others => '0');
    begin  -- process Which_MB_Reg_Handle
      if DRCK'event and DRCK = '1' then   -- rising clock edge
        if Which_MB_Reg_En = '1' and shifting_Data = '1' then
          temp(0 to temp'right-1) := temp(1 to temp'right);
          temp(temp'right)        := tdi_reg;
          if (Dbg_Update_I = '1') then
            Which_MB_Reg <= temp(Which_MB_Reg'range);
          end if;
        end if;
      end if;
    end process Which_MB_Reg_Handle;
  
    MB_Debug_Enabled(0 to C_MB_DBG_PORTS-1) <= Which_MB_Reg(0 to C_MB_DBG_PORTS-1);
    
--    -- Create the enables for each MicroBlaze debug port
--    Create_MB_Dbg_Port_Enables: process (Which_MB_Reg) is
--      constant max_no_bits : natural := log2(C_MB_DBG_PORTS);
--      variable bits_to_use : std_logic_vector(0 to max_no_bits-1);
--    begin  -- process Create_MB_Dbg_Port_Enables
--      MB_Debug_Enabled <= (others => '0');  -- Default
--      if (Which_MB_Reg = "11111111") then
--        MB_Debug_Enabled <= (others => '1');  -- Broadcast command
--      else
--        bits_to_use := Which_MB_Reg(bits_to_use'range);
--        for I in 0 to C_MB_DBG_PORTS-1 loop
--          if (I = to_integer(unsigned(bits_to_use))) then
--            MB_Debug_Enabled(I) <= '1';
--          end if;
--        end loop;  -- I
--      end if;
--    end process Create_MB_Dbg_Port_Enables;

  end generate More_Than_One;

  Only_One_MB: if (C_MB_DBG_PORTS = 1) generate
    MB_Debug_Enabled(0) <= '1';
  end generate Only_One_MB;

  No_MB: if (C_MB_DBG_PORTS = 0) generate
    MB_Debug_Enabled(0) <= '0';
  end generate No_MB;

  -----------------------------------------------------------------------------
  -- UART section
  -----------------------------------------------------------------------------

    -- Since only one bit can change in the status register at time
    -- we don't need to synchronize them with the DRCK clock
  status_Reg(7)      <= fifo_Data_Present;
  status_Reg(6)      <= tx_Buffer_Full_I;
  status_Reg(5)      <= not rx_Data_Present_I;
  status_Reg(4)      <= rx_Buffer_Full_I;
--  status_Reg(0 to 3) <= (others => '0');

  status_Reg(3) <= FSL0_S_Exists;
  status_Reg(2) <= FSL0_M_Full;
  status_Reg(1) <= FSL_Read_UnderRun;
  status_Reg(0) <= FSL_Write_OverRun;

  -- Not currently used
  FSL_Read_UnderRun <= '0';
  
  No_UART_2 : if (C_USE_UART = 0) generate
    uart_TDO <= '0';
  end generate No_UART_2;

  Have_UARTs : if (C_USE_UART = 1) generate

    -- Read UART registers
    TDO_Register : process (DRCK) is
    begin  -- process TDO_Register
      if DRCK'event and DRCK = '1' then  -- rising clock edge
        if (SYNC = '0') then
          case Command(CMD_RANGE'RANGE) is
            when UART_READ_STATUS =>
              tdo_reg                                                  <= (others => '0');
              tdo_reg(tdo_reg'right-status_reg'right to tdo_reg'right) <= status_Reg;
            when others => tdo_reg <= fifo_DOut;
          end case;
        elsif shifting_Data = '1' then
          tdo_reg(tdo_reg'left+1 to tdo_reg'right) <= tdo_reg(tdo_reg'left to tdo_reg'right-1);
          tdo_reg(tdo_reg'left)                    <= '0';
        end if;
      end if;
    end process TDO_Register;

    uart_TDO <= tdo_reg(tdo_reg'right);

    -----------------------------------------------------------------------------
    -- FIFO
    -----------------------------------------------------------------------------
    RX_FIFO_I : SRL_FIFO
      generic map (
        C_DATA_BITS => C_UART_WIDTH,    -- [natural]
        C_DEPTH     => 16)              -- [natural]
      port map (
        Clk         => OPB_Clk,         -- [in  std_logic]
        Reset       => Reset_RX_FIFO,   -- [in  std_logic]
        FIFO_Write  => fifo_Write,      -- [in  std_logic]
        Data_In     => fifo_Din(0 to C_UART_WIDTH-1),  -- [in  std_logic_vector(0 to C_DATA_BITS-1)]
        FIFO_Read   => Read_RX_FIFO,    -- [in  std_logic]
        Data_Out    => RX_Data,  -- [out std_logic_vector(0 to C_DATA_BITS-1)]
        FIFO_Full   => rx_Buffer_Full_I,               -- [out std_logic]
        Data_Exists => rx_Data_Present_I);             -- [out std_logic]

    RX_Data_Present <= rx_Data_Present_I;
    RX_Buffer_Full  <= rx_Buffer_Full_I;

    TX_FIFO_I : SRL_FIFO
      generic map (
        C_DATA_BITS => C_UART_WIDTH,    -- [natural]
        C_DEPTH     => 16)              -- [natural]
      port map (
        Clk         => OPB_Clk,         -- [in  std_logic]
        Reset       => Reset_TX_FIFO,   -- [in  std_logic]
        FIFO_Write  => Write_TX_FIFO,   -- [in  std_logic]
        Data_In     => TX_Data,  -- [in  std_logic_vector(0 to C_DATA_BITS-1)]
        FIFO_Read   => fifo_Read,       -- [in  std_logic]
        Data_Out    => fifo_DOut,  -- [out std_logic_vector(0 to C_DATA_BITS-1)]
        FIFO_Full   => TX_Buffer_Full_I,    -- [out std_logic]
        Data_Exists => fifo_Data_Present);  -- [out std_logic]

    TX_Buffer_Full  <= TX_Buffer_Full_I;
    TX_Buffer_Empty <= not fifo_Data_Present;
  end generate Have_UARTs;

  -----------------------------------------------------------------------------
  -- FSL handling
  -----------------------------------------------------------------------------
  Use_FSL: if (C_USE_FSL /= 0) generate
    signal New_FSL_Data      : std_logic := '0';
    signal Write_to_FSL_En   : std_logic;
    signal FSL_Data_DRCK     : std_logic_vector(0 to C_FSL_DATA_SIZE-1);
    -- signal Cnt_31            : std_logic := '0';
    signal Cnt_32            : std_logic := '0';
  begin

    -- Currently no FSL slave interface
    FSL0_S_Clk     <= '0';
    FSL0_S_Read    <= '0';

    Write_to_FSL_En <= '1' when (Command(CMD_RANGE'RANGE) = MDM_WRITE_TO_FSL) else '0';

    New_Data: process (DRCK) is
    begin  -- process New_Data
      if DRCK'event and DRCK = '1' then  -- rising clock edge
        Cnt_32 <= '0';
        New_FSL_Data <= '0';
        if (shift_Count(4 downto 0) = "11111") then  -- Counted 31 tim
          Cnt_32 <= Write_to_FSL_En;
        end if;
        if (Cnt_32 = '1') then
          New_FSL_Data <= Write_to_FSL_En;
          FSL_Data_DRCK <= fifo_Din(0 to C_FSL_DATA_SIZE-1);
        end if;
      end if;
    end process New_Data;

    ---------------------------------------------------------------------------
    -- Write to FSL, assumes that OPB_Clk is faster than JTAG Clock
    ---------------------------------------------------------------------------
    Write_FSL_Data: process (OPB_Clk, OPB_Rst) is
      variable prev1 : std_logic;
      variable prev2 : std_logic;
    begin  -- process Write_FSL_Data
      if OPB_Rst = '1' then               -- asynchronous reset (active high)
        FSL0_M_Write <= '0';
        prev1 := '0';
        prev2 := '0';
      elsif OPB_Clk'event and OPB_Clk = '1' then  -- rising clock edge
        FSL0_M_Write <= '0';
        if (prev2 = '0' and prev1 = '1') then
          FSL0_M_Write <= '1';
        end if;
        prev2 := prev1;
        prev1 := New_FSL_Data;
      end if;
    end process Write_FSL_Data;

    FSL0_M_Data <= FSL_Data_DRCK;       -- Will change every 32 JTAG clock so
                                        -- it safe to use it to write in the
                                        -- OPB clock domain since the write
                                        -- strobe is delayed at least one
                                        -- OPB_Clk after the data changes
    FSL0_M_Clk <= OPB_Clk;
    FSL0_M_Control <= '0';              -- No control mechanism yet

    OverRun_Register : process (DRCK) is
    begin  -- process OverRun_Register
      if DRCK'event and DRCK = '1' then  -- rising clock edge
        if (shifting_Data = '1') and ( Command(CMD_RANGE'RANGE) = UART_READ_STATUS) then
          FSL_Write_OverRun <= '0';
        end if;
        if (New_FSL_Data = '1' and FSL0_M_Full = '1') then
          FSL_Write_OverRun <= '1';
        end if;
      end if;
    end process OverRun_Register;
    
  end generate Use_FSL;
  

  No_FSL : if (C_USE_FSL = 0) generate
    FSL0_S_Clk     <= '0';
    FSL0_S_Read    <= '0';
    FSL0_M_Clk     <= '0';
    FSL0_M_Write   <= '0';
    FSL0_M_Data    <= (others => '0');
    FSL0_M_Control <= '0';
    FSL_Write_OverRun <= '0';
  end generate No_FSL;
  
  -----------------------------------------------------------------------------
  -- Adding signal for debugging using chipscope
  -----------------------------------------------------------------------------
  jtag_clk <= DRCK;

  trig(0) <= data_cmd_n;
  trig(1) <= shifting_Data;
  trig(2) <= load_command;
  trig(3) <= execute;
  trig(4) <= Command(2);
  trig(5) <= command(3);
  trig(6) <= command(4);
  trig(7) <= command(5);

  data(0)            <= data_cmd_n;
  data(1)            <= shifting_Data;
  data(2)            <= load_command;
  data(3)            <= execute;
  data(4)            <= tdi_reg;
  data(5)            <= fifo_din(0);
  data(6)            <= fifo_din(1);
  data(7)            <= fifo_din(2);
  data(8)            <= fifo_din(3);
  data(9)            <= fifo_din(4);
  data(10)           <= fifo_din(5);
  data(11)           <= fifo_din(6);
  data(12)           <= fifo_din(7);
  data(13)           <= command(1);
  data(14)           <= command(2);
  data(15)           <= command(3);
  data(16)           <= command(4);
  data(17)           <= command(5);
  data(18)           <= ext_BRK_i;
  data(19)           <= Ext_NM_BRK_i;
  data(20)           <= Debug_SYS_Rst_i;
  data(21)           <= Debug_Rst_i;
  data(23 downto 22) <= (others => '0');
  data(31 downto 24) <= "01000010";
  
end architecture IMP;



?? 快捷鍵說明

復(fù)制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號(hào) Ctrl + =
減小字號(hào) Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
国产精品进线69影院| 日本vs亚洲vs韩国一区三区二区| 精品免费一区二区三区| 91精品办公室少妇高潮对白| 成人免费视频国产在线观看| 亚洲国产毛片aaaaa无费看| 国产精品国产三级国产aⅴ原创| 久久免费的精品国产v∧| 91麻豆精品国产无毒不卡在线观看| 在线观看亚洲一区| 93久久精品日日躁夜夜躁欧美| 大陆成人av片| 国产大陆精品国产| 国产精品自拍一区| 蜜臀a∨国产成人精品| 婷婷中文字幕一区三区| 亚洲欧洲国产日本综合| 亚洲欧洲色图综合| 国产精品日韩成人| 国产精品理伦片| 中文字幕一区三区| 亚洲国产精品t66y| 国产精品久久福利| 中文字幕亚洲精品在线观看| 成人欧美一区二区三区1314| 综合久久给合久久狠狠狠97色| 国产精品久久久久影院亚瑟| 日韩一区中文字幕| 尤物视频一区二区| 蜜桃精品视频在线| 不卡视频一二三| 欧美日韩黄色影视| xnxx国产精品| 亚洲欧美电影院| 日日摸夜夜添夜夜添亚洲女人| 国产一区二区精品久久91| 波多野结衣精品在线| 欧美日韩你懂得| 久久综合九色综合97婷婷| 亚洲欧美一区二区三区国产精品| 亚洲成人一二三| 国产精品一区免费视频| 在线一区二区三区| 亚洲精品在线观看视频| 亚洲女人小视频在线观看| 日韩成人dvd| 99久久免费视频.com| 91精品国产综合久久久久久久| wwwwww.欧美系列| 一区av在线播放| 国内精品国产成人| 91久久精品一区二区三区| 亚洲精品在线观看网站| 亚洲一区二区三区中文字幕在线| 韩国v欧美v亚洲v日本v| 91国偷自产一区二区三区观看| 欧美mv和日韩mv的网站| 一区二区三区免费观看| 卡一卡二国产精品| 日本高清成人免费播放| 久久久久久久久久久久电影| 亚洲成av人片www| 成人av小说网| 日韩色在线观看| 伊人婷婷欧美激情| 成人午夜在线播放| 日韩午夜激情av| 亚洲一区二区高清| www.日韩在线| 26uuu色噜噜精品一区二区| 亚洲成a人片在线不卡一二三区| 成人国产亚洲欧美成人综合网| 日韩视频在线你懂得| 亚洲午夜私人影院| 91日韩一区二区三区| 国产亚洲午夜高清国产拍精品 | 中文字幕一区免费在线观看| 毛片不卡一区二区| 欧美日韩国产高清一区| 亚洲色图视频免费播放| 国产成人在线电影| 日韩精品一区二区三区中文精品| 亚洲国产精品影院| 97久久精品人人做人人爽| 国产视频一区在线播放| 久久99精品国产麻豆婷婷| 555www色欧美视频| 亚洲黄色在线视频| 91在线视频18| 亚洲欧美一区二区视频| 成人黄色一级视频| 亚洲国产激情av| 成人自拍视频在线观看| 国产欧美久久久精品影院| 国产精品一区二区在线看| 欧美精品一区二区三区在线播放 | 精品综合免费视频观看| 精品视频色一区| 亚洲国产日韩一区二区| 色婷婷亚洲综合| 一区二区在线观看视频在线观看| 成人av在线影院| 国产精品麻豆一区二区| 丁香亚洲综合激情啪啪综合| 久久男人中文字幕资源站| 国产精品自拍在线| 国产人成亚洲第一网站在线播放 | 欧美在线一二三四区| 亚洲女人****多毛耸耸8| 色国产综合视频| 亚洲伊人色欲综合网| 欧美日韩久久久久久| 日韩电影在线免费观看| 欧美一级在线观看| 狠狠色狠狠色综合| 国产亚洲一二三区| av电影天堂一区二区在线观看| 一区二区中文字幕在线| 91精品1区2区| 日韩精品一二三区| 精品国产制服丝袜高跟| 国产盗摄精品一区二区三区在线| 一区视频在线播放| 欧美色成人综合| 日韩电影在线观看网站| 久久亚洲精精品中文字幕早川悠里| 国产成人免费xxxxxxxx| 亚洲色图自拍偷拍美腿丝袜制服诱惑麻豆 | 一区二区三区久久| 欧美日韩成人一区| 激情成人午夜视频| 国产精品乱人伦中文| 91官网在线观看| 麻豆精品一二三| 国产精品美女视频| 欧美视频一区在线| 精品亚洲porn| 亚洲天天做日日做天天谢日日欢| 欧美日韩卡一卡二| 国产精品自拍一区| 依依成人综合视频| 精品1区2区在线观看| 91亚洲精品一区二区乱码| 午夜视频在线观看一区| 久久久久免费观看| 欧美性一级生活| 国产精品99久久久久久久女警 | 理论片日本一区| 中文字幕一区二区三区av| 在线不卡a资源高清| 国产精品一品二品| 亚洲成人免费在线观看| 国产亚洲综合性久久久影院| 欧美日韩一区二区三区高清| 国产伦理精品不卡| 亚洲一区二区av电影| 久久精品亚洲麻豆av一区二区| 日本韩国一区二区三区视频| 经典三级一区二区| 亚洲精品国久久99热| 久久久亚洲精品石原莉奈| 欧美日韩一区视频| 成人开心网精品视频| 日韩精品成人一区二区三区| 国产精品美女久久久久高潮| 日韩欧美在线123| 在线免费观看成人短视频| 国产乱码一区二区三区| 日韩精品一二三四| 亚洲女爱视频在线| 国产校园另类小说区| 日韩一区二区视频在线观看| 色婷婷av一区二区三区软件| 国产精品18久久久久久久久| 奇米综合一区二区三区精品视频 | 国产高清成人在线| 男男成人高潮片免费网站| 一区二区三区高清在线| 亚洲国产精品成人综合色在线婷婷| 欧美一区二区三区在线视频| 日本韩国视频一区二区| 菠萝蜜视频在线观看一区| 久久国产精品色婷婷| 日韩中文字幕一区二区三区| 亚洲精品va在线观看| 国产精品九色蝌蚪自拍| 久久精品网站免费观看| 精品久久久久久久久久久久久久久 | 风间由美一区二区av101| 久久精品国产久精国产爱| 亚洲国产精品嫩草影院| 亚洲激情图片一区| 亚洲欧美偷拍三级| 国产精品电影一区二区| 亚洲国产精品成人综合| 久久精品亚洲一区二区三区浴池| 精品少妇一区二区三区视频免付费| 91麻豆精品91久久久久同性| 欧美美女一区二区在线观看| 欧美性xxxxxx少妇|