亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來(lái)到蟲(chóng)蟲(chóng)下載站! | ?? 資源下載 ?? 資源專(zhuān)輯 ?? 關(guān)于我們
? 蟲(chóng)蟲(chóng)下載站

?? mdm.vhd

?? 實(shí)用的程序代碼
?? VHD
?? 第 1 頁(yè) / 共 2 頁(yè)
字號(hào):
-------------------------------------------------------------------------------
-- $Id: mdm.vhd,v 1.1 2004/08/12 01:42:47 khangdao Exp $
-------------------------------------------------------------------------------
-- mdm.vhd - Entity and architecture
--
--  ***************************************************************************
--  **  Copyright(C) 2003 by Xilinx, Inc. All rights reserved.               **
--  **                                                                       **
--  **  This text contains proprietary, confidential                         **
--  **  information of Xilinx, Inc. , is distributed by                      **
--  **  under license from Xilinx, Inc., and may be used,                    **
--  **  copied and/or disclosed only pursuant to the terms                   **
--  **  of a valid license agreement with Xilinx, Inc.                       **
--  **                                                                       **
--  **  Unmodified source code is guaranteed to place and route,             **
--  **  function and run at speed according to the datasheet                 **
--  **  specification. Source code is provided "as-is", with no              **
--  **  obligation on the part of Xilinx to provide support.                 **
--  **                                                                       **
--  **  Xilinx Hotline support of source code IP shall only include          **
--  **  standard level Xilinx Hotline support, and will only address         **
--  **  issues and questions related to the standard released Netlist        **
--  **  version of the core (and thus indirectly, the original core source). **
--  **                                                                       **
--  **  The Xilinx Support Hotline does not have access to source            **
--  **  code and therefore cannot answer specific questions related          **
--  **  to source HDL. The Xilinx Support Hotline will only be able          **
--  **  to confirm the problem in the Netlist version of the core.           **
--  **                                                                       **
--  **  This copyright and support notice must be retained as part           **
--  **  of this text at all times.                                           **
--  ***************************************************************************
--
-------------------------------------------------------------------------------
-- Filename:        mdm.vhd
--
-- Description:     
--                  
-- VHDL-Standard:   VHDL'93
-------------------------------------------------------------------------------
-- Structure:   
--              mdm.vhd
--
-------------------------------------------------------------------------------
-- Author:          goran
-- Revision:        $Revision: 1.1 $
-- Date:            $Date: 2004/08/12 01:42:47 $
--
-- History:
--   goran  2003-02-13    First Version
--
-------------------------------------------------------------------------------
-- Naming Conventions:
--      active low signals:                     "*_n"
--      clock signals:                          "clk", "clk_div#", "clk_#x" 
--      reset signals:                          "rst", "rst_n" 
--      generics:                               "C_*" 
--      user defined types:                     "*_TYPE" 
--      state machine next state:               "*_ns" 
--      state machine current state:            "*_cs" 
--      combinatorial signals:                  "*_com" 
--      pipelined or register delay signals:    "*_d#" 
--      counter signals:                        "*cnt*"
--      clock enable signals:                   "*_ce" 
--      internal version of output port         "*_i"
--      device pins:                            "*_pin" 
--      ports:                                  - Names begin with Uppercase 
--      processes:                              "*_PROCESS" 
--      component instantiations:               "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;

entity OPB_MDM is
  generic (
    C_OPB_AWIDTH      : integer                   := 32;
    C_OPB_DWIDTH      : integer                   := 32;
    C_BASEADDR        : std_logic_vector(0 to 31) := X"FFFF_8000";
    C_HIGHADDR        : std_logic_vector(0 to 31) := X"FFFF_80FF";
    C_FAMILY          : string                    := "virtex2";
    C_MB_DBG_PORTS    : integer                   := 1;
    C_USE_UART        : integer                   := 1;
    C_UART_WIDTH      : integer                   := 32;
    C_WRITE_FSL_PORTS : integer                   := 0
    );
  port (
    -- Global signals
    OPB_Clk           : in std_logic;
    OPB_Rst           : in std_logic;

    Interrupt     : out std_logic;
    Ext_BRK       : out std_logic;
    Ext_NM_BRK    : out std_logic;
    Debug_SYS_Rst : out std_logic;
    Debug_Rst     : out std_logic;

    -- OPB signals
    OPB_ABus    : in std_logic_vector(0 to 31);
    OPB_BE      : in std_logic_vector(0 to 3);
    OPB_RNW     : in std_logic;
    OPB_select  : in std_logic;
    OPB_seqAddr : in std_logic;
    OPB_DBus    : in std_logic_vector(0 to 31);

    MDM_DBus    : out std_logic_vector(0 to 31);
    MDM_errAck  : out std_logic;
    MDM_retry   : out std_logic;
    MDM_toutSup : out std_logic;
    MDM_xferAck : out std_logic;

    -- MicroBlaze Debug Signals
    Dbg_Clk_0     : out std_logic;
    Dbg_TDI_0     : out std_logic;
    Dbg_TDO_0     : in  std_logic;
    Dbg_Reg_En_0  : out std_logic_vector(0 to 4);
    Dbg_Capture_0 : out std_logic;
    Dbg_Update_0  : out std_logic;

    Dbg_Clk_1     : out std_logic;
    Dbg_TDI_1     : out std_logic;
    Dbg_TDO_1     : in  std_logic;
    Dbg_Reg_En_1  : out std_logic_vector(0 to 4);
    Dbg_Capture_1 : out std_logic;
    Dbg_Update_1  : out std_logic;

    Dbg_Clk_2     : out std_logic;
    Dbg_TDI_2     : out std_logic;
    Dbg_TDO_2     : in  std_logic;
    Dbg_Reg_En_2  : out std_logic_vector(0 to 4);
    Dbg_Capture_2 : out std_logic;
    Dbg_Update_2  : out std_logic;

    Dbg_Clk_3     : out std_logic;
    Dbg_TDI_3     : out std_logic;
    Dbg_TDO_3     : in  std_logic;
    Dbg_Reg_En_3  : out std_logic_vector(0 to 4);
    Dbg_Capture_3 : out std_logic;
    Dbg_Update_3  : out std_logic;

    Dbg_Clk_4     : out std_logic;
    Dbg_TDI_4     : out std_logic;
    Dbg_TDO_4     : in  std_logic;
    Dbg_Reg_En_4  : out std_logic_vector(0 to 4);
    Dbg_Capture_4 : out std_logic;
    Dbg_Update_4  : out std_logic;

    Dbg_Clk_5     : out std_logic;
    Dbg_TDI_5     : out std_logic;
    Dbg_TDO_5     : in  std_logic;
    Dbg_Reg_En_5  : out std_logic_vector(0 to 4);
    Dbg_Capture_5 : out std_logic;
    Dbg_Update_5  : out std_logic;

    Dbg_Clk_6     : out std_logic;
    Dbg_TDI_6     : out std_logic;
    Dbg_TDO_6     : in  std_logic;
    Dbg_Reg_En_6  : out std_logic_vector(0 to 4);
    Dbg_Capture_6 : out std_logic;
    Dbg_Update_6  : out std_logic;

    Dbg_Clk_7     : out std_logic;
    Dbg_TDI_7     : out std_logic;
    Dbg_TDO_7     : in  std_logic;
    Dbg_Reg_En_7  : out std_logic_vector(0 to 4);
    Dbg_Capture_7 : out std_logic;
    Dbg_Update_7  : out std_logic;

-- Connect the BSCAN's USER1 + common signals to the external pins
-- These signals can be connected to an ICON core instantiated by the user
-- Will not be used if the ICON is inserted within the mdm

    bscan_tdi     : out std_logic;
    bscan_reset   : out std_logic;
    bscan_shift   : out std_logic;
    bscan_update  : out std_logic;
    bscan_capture : out std_logic;
    bscan_sel1    : out std_logic;
    bscan_drck1   : out std_logic;
    bscan_tdo1    : in  std_logic;

    ---------------------------------------------------------------------------
    -- FSL ports
    ---------------------------------------------------------------------------

    FSL0_S_Clk     : out std_logic;
    FSL0_S_Read    : out std_logic;
    FSL0_S_Data    : in  std_logic_vector(0 to 31);
    FSL0_S_Control : in  std_logic;
    FSL0_S_Exists  : in  std_logic;

    FSL0_M_Clk     : out std_logic;
    FSL0_M_Write   : out std_logic;
    FSL0_M_Data    : out std_logic_vector(0 to 31);
    FSL0_M_Control : out std_logic;
    FSL0_M_Full    : in  std_logic

    );

end entity OPB_MDM;

library unisim;
use unisim.vcomponents.all;

library opb_mdm_v2_01_a;
use opb_mdm_v2_01_a.all;

library proc_common_v1_00_c;
use proc_common_v1_00_c.family.all;

architecture IMP of OPB_MDM is

  constant C_FSL_DATA_SIZE : integer := 32;

  attribute BOX_TYPE : string;

  -- component BUFG is
  --   port (
  --     O : out std_logic;
  --     I : in  std_logic);
  -- end component;

  component BSCAN_VIRTEX
    port
      (
        TDO1   : in  std_logic;
        TDO2   : in  std_logic;
        UPDATE : out std_logic;
        SHIFT  : out std_logic;
        RESET  : out std_logic;
        TDI    : out std_logic;
        SEL1   : out std_logic;
        DRCK1  : out std_logic;
        SEL2   : out std_logic;
        DRCK2  : out std_logic
        );
  end component;
  attribute BOX_TYPE of BSCAN_VIRTEX : component is "black_box";

  -- component BSCAN_VIRTEX2
  --   port
  --     (
  --       TDO1    : in  std_logic;
  --       TDO2    : in  std_logic;
  --       UPDATE  : out std_logic;
  --       SHIFT   : out std_logic;
  --       RESET   : out std_logic;
  --       TDI     : out std_logic;
  --       SEL1    : out std_logic;
  --       DRCK1   : out std_logic;
  --       SEL2    : out std_logic;
  --       DRCK2   : out std_logic;
  --       CAPTURE : out std_logic
  --       );
  -- end component;
  -- attribute BOX_TYPE of BSCAN_VIRTEX2 : component is "black_box";

  component BSCAN_VIRTEX4
    generic (
      JTAG_CHAIN : integer := 1
      );
    port
      (
        TDO     : in  std_logic;
        CAPTURE : out std_logic;
        DRCK    : out std_logic;
        RESET   : out std_logic;
        SEL     : out std_logic;
        SHIFT   : out std_logic;
        TDI     : out std_logic;
        UPDATE  : out std_logic
        );
  end component;
  attribute box_type of BSCAN_VIRTEX4: component is "black_box";

  component MDM_Core is
    generic (
      C_BASEADDR      : std_logic_vector(0 to 31);
      C_HIGHADDR      : std_logic_vector(0 to 31);
      C_MB_DBG_PORTS  : integer;
      C_USE_UART      : integer;
      C_UART_WIDTH    : integer;
      C_USE_FSL       : integer := 0;
      C_FSL_DATA_SIZE : integer := 32
      );
    port (
      -- Global signals
      OPB_Clk : in std_logic;
      OPB_Rst : in std_logic;

      Interrupt     : out std_logic;
      Ext_BRK       : out std_logic;
      Ext_NM_BRK    : out std_logic;
      Debug_SYS_Rst : out std_logic;
      Debug_Rst     : out std_logic;

      -- OPB signals
      OPB_ABus    : in std_logic_vector(0 to 31);
      OPB_BE      : in std_logic_vector(0 to 3);
      OPB_RNW     : in std_logic;
      OPB_select  : in std_logic;
      OPB_seqAddr : in std_logic;
      OPB_DBus    : in std_logic_vector(0 to 31);

      MDM_DBus    : out std_logic_vector(0 to 31);
      MDM_errAck  : out std_logic;
      MDM_retry   : out std_logic;
      MDM_toutSup : out std_logic;
      MDM_xferAck : out std_logic;

      -- JTAG signals
      TDI    : in  std_logic;
      RESET  : in  std_logic;
      UPDATE : in  std_logic;
      SHIFT  : in  std_logic;
      SEL    : in  std_logic;

?? 快捷鍵說(shuō)明

復(fù)制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號(hào) Ctrl + =
減小字號(hào) Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
国产精品久久影院| 欧美xxxxxxxx| 一区二区高清免费观看影视大全| 成人18视频在线播放| 国产精品系列在线| 一本在线高清不卡dvd| 一区二区三区欧美激情| 欧美三区在线观看| 久久国产成人午夜av影院| 日韩精品中文字幕在线一区| 国产精品中文欧美| 中文字幕一区二区日韩精品绯色| 色一情一伦一子一伦一区| 婷婷综合久久一区二区三区| 精品国产一区a| eeuss鲁一区二区三区| 亚洲成人激情av| 久久久久久一级片| 色偷偷久久人人79超碰人人澡| 亚洲高清视频中文字幕| 欧美成人vps| 99re免费视频精品全部| 蜜臂av日日欢夜夜爽一区| 国产喂奶挤奶一区二区三区| 在线免费视频一区二区| 黑人精品欧美一区二区蜜桃| 免费看欧美女人艹b| 久久蜜桃av一区二区天堂 | 日本美女一区二区三区视频| 久久综合狠狠综合久久激情| 97久久超碰国产精品| 蜜桃一区二区三区四区| 亚洲天堂av一区| 亚洲123区在线观看| 久久综合色婷婷| 欧美在线观看视频在线| 国产老肥熟一区二区三区| 亚洲一区二区精品视频| 国产亚洲视频系列| 欧美精品第1页| 91日韩一区二区三区| 国产一区二区福利视频| 亚洲成人激情自拍| 亚洲图片激情小说| 精品久久久影院| 欧美日韩在线播| 99久久99久久精品国产片果冻 | 在线观看成人免费视频| 国产精品白丝jk白祙喷水网站| 午夜精品一区二区三区三上悠亚| 欧美高清在线视频| 精品福利在线导航| 欧美精品高清视频| 欧美亚洲国产一区二区三区va| 国产成人精品免费在线| 精品一区二区三区在线播放 | 欧美日韩中文精品| 成人动漫精品一区二区| 国产曰批免费观看久久久| 日精品一区二区三区| 一区二区三区欧美在线观看| 亚洲视频1区2区| 中文字幕一区二区三区色视频| 久久免费电影网| 久久久综合视频| 久久免费美女视频| 久久理论电影网| 久久综合久久综合久久| 欧美不卡视频一区| 欧美成人艳星乳罩| 日韩欧美国产三级电影视频| 91麻豆精品国产91久久久 | 精品国产三级电影在线观看| 欧美一区二区福利在线| 在线播放视频一区| 欧美久久久一区| 日韩视频一区二区在线观看| 欧美成人性战久久| 26uuu亚洲| 国产亚洲福利社区一区| 国产亚洲精品bt天堂精选| 久久久久久亚洲综合影院红桃| 久久夜色精品国产噜噜av| 欧美videos中文字幕| 精品久久久久久最新网址| 精品黑人一区二区三区久久| 欧美www视频| 欧美激情综合五月色丁香| 国产精品热久久久久夜色精品三区| 国产精品久久夜| 亚洲免费观看高清完整版在线观看 | 色偷偷久久人人79超碰人人澡| 色婷婷综合久久久久中文| 欧日韩精品视频| 欧美丰满美乳xxx高潮www| 精品少妇一区二区三区在线视频| 天天影视网天天综合色在线播放 | 69久久夜色精品国产69蝌蚪网| 日韩一二在线观看| 久久综合九色综合97婷婷女人| 国产亚洲一区二区三区在线观看 | 欧美在线|欧美| 欧美一区二区三区视频在线 | 97精品电影院| 欧美日韩精品一区二区三区| 欧美成人猛片aaaaaaa| 国产精品色一区二区三区| 亚洲精品亚洲人成人网在线播放| 天天操天天综合网| 国产成人精品网址| 欧美日韩在线精品一区二区三区激情| 日韩欧美视频一区| 国产精品久久久久aaaa| 亚洲国产wwwccc36天堂| 国产精品亚洲成人| 欧美日韩1234| 国产精品素人一区二区| 亚洲成人中文在线| 国产成人在线观看| 欧美三日本三级三级在线播放| 26uuu国产一区二区三区| 一区二区三区在线视频免费| 免费在线观看视频一区| 99久久精品费精品国产一区二区| 91精品综合久久久久久| 国产精品国产三级国产aⅴ入口| 日韩高清一区二区| 99热在这里有精品免费| 91精品国产全国免费观看| 亚洲人精品一区| 激情文学综合丁香| 欧美日韩一区二区三区在线| 亚洲国产一二三| 成人精品免费网站| 日韩午夜激情视频| 亚洲一级二级在线| 成人深夜视频在线观看| 日韩色在线观看| 亚洲欧美日韩综合aⅴ视频| 国产真实乱对白精彩久久| 欧美人体做爰大胆视频| 亚洲三级在线播放| 国产成人免费9x9x人网站视频| 91精品国产手机| 有坂深雪av一区二区精品| 国产jizzjizz一区二区| 日韩精品最新网址| 午夜精品福利一区二区蜜股av | 日本欧美一区二区| 欧美日韩亚洲丝袜制服| 亚洲天堂av一区| jiyouzz国产精品久久| 国产欧美日韩不卡| 国产一区二区三区四区在线观看| 91精品国产一区二区三区蜜臀| 一区二区三区在线视频播放| 99在线视频精品| 国产亚洲成av人在线观看导航| 激情伊人五月天久久综合| 日韩欧美一级二级三级久久久| 亚洲成人资源网| 欧美日韩亚洲丝袜制服| 国产精品伊人色| 欧美精品一区视频| 国产一区二区三区电影在线观看| 91精品国产美女浴室洗澡无遮挡| 亚洲高清视频在线| 欧美福利一区二区| 裸体歌舞表演一区二区| 日韩女优毛片在线| 久热成人在线视频| 日韩欧美电影一二三| 久久99国产精品尤物| 26uuu精品一区二区| 粉嫩aⅴ一区二区三区四区五区| 国产欧美日韩不卡| 91亚洲国产成人精品一区二三| 中文字幕一区免费在线观看| 99国产精品久久久久| 亚洲激情自拍视频| 精品视频在线免费看| 日本在线观看不卡视频| 精品久久久久久最新网址| 国产一区二区免费看| 最新热久久免费视频| 91成人免费在线视频| 日韩av中文字幕一区二区三区| 日韩欧美一区二区视频| 国产成人免费高清| 亚洲靠逼com| 6080国产精品一区二区| 国产乱子轮精品视频| 1024国产精品| 欧美老肥妇做.爰bbww视频| 久久99精品国产麻豆不卡| 国产亚洲成av人在线观看导航| 97se亚洲国产综合自在线观| 午夜影院久久久| 久久久亚洲国产美女国产盗摄| 99久久精品免费看国产免费软件|