亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來(lái)到蟲(chóng)蟲(chóng)下載站! | ?? 資源下載 ?? 資源專輯 ?? 關(guān)于我們
? 蟲(chóng)蟲(chóng)下載站

?? sram.vhd

?? 這是一個(gè)很好的USB程序。
?? VHD
字號(hào):
--------------------------------------------------------------------------------
-- Copyright (c) 2000 by Trenz Electronic.
-- Duenner Kirchweg 77, 32257 Buende, Germany, www.trenz-electronic.de
--     
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--     
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
-- GNU General Public License for more details.
--     
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA.
--------------------------------------------------------------------------------
-- Project:      Full-Speed USB 1.1 Function Controller
-- File:         SRAM.vhd
-- Description:  XSP-010 board, SRAM model with intel hex file load.
-- Version:      FB, 2000jul29
--------------------------------------------------------------------------------

library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_TEXTIO.all;	

library STD;
use STD.TEXTIO.all;

entity SRAM is
	generic (
		fname: STRING);                           -- path to intel hex file
	port(
		A:  in    STD_LOGIC_VECTOR(14 downto 0);  -- address bus
		D:  inout STD_LOGIC_VECTOR(7 downto 0);   -- data bus
		CE: in    STD_LOGIC;                      -- chip enable
		OE: in    STD_LOGIC;                      -- output enable
		WE: in    STD_LOGIC                       -- write enable
		);
end SRAM;

--------------------------------------------------------------------------------
architecture Sim of SRAM is
	type   Tmem  is array (0 to 4096) of STD_LOGIC_VECTOR (7 downto 0);
	signal mem: Tmem;
begin
	
	process(A, D, CE, OE, WE)
		
		procedure hexrecord(
			--------------------------------------------------------------------
			-- Intel hex records are contained in a file with one record per line. 
			-- Each line is in the following format: 
			--
			--     :<n_bytes> <address> 00 <data_byte 1> ... <data_byte n> <checksum> 
			--
			-- where: 
			--
			--     n_bytes   - the number of bytes defined by the record 
			--     address   - the address of the first byte 
			--     00        - zero
			--     data_byte - the contents of an individual byte. 
			--     checksum  - a value so that the sum of ALL the bytes 
			--                 (including the checksum) equals 0 modulo 256. 
			--
			-- The hex record is written as ASCII characters corresponding to the 
			-- hexadecimal values. For example, the hex records to encode the 
			-- sample data shown above are: 
			--
			--      :03012C0075812FAB
			--      :nnaaaa00ddddddcc
			--------------------------------------------------------------------
			constant fname: in  STRING;
			signal   mem:   out Tmem) is
			file     hexfile: TEXT open READ_MODE is fname;
			variable linebuf: LINE;
			variable char:    CHARACTER;
			variable nibble:  STD_LOGIC_VECTOR( 3 downto 0);
			variable count:   STD_LOGIC_VECTOR( 7 downto 0);
			variable addr:    STD_LOGIC_VECTOR(15 downto 0);
			variable byte:    STD_LOGIC_VECTOR( 7 downto 0);
			variable check:   STD_LOGIC_VECTOR( 7 downto 0);
		begin
			while not endfile(hexfile) loop
				readline(hexfile, linebuf);
				if linebuf'length> 8 then
					-- read ':'
					read(linebuf, char);
					-- read count
					hread(linebuf, nibble); count( 7 downto  4):= nibble;
					hread(linebuf, nibble); count( 3 downto  0):= nibble;
					-- read address
					hread(linebuf, nibble); addr(15 downto 12):= nibble;
					hread(linebuf, nibble); addr(11 downto  8):= nibble;
					hread(linebuf, nibble); addr( 7 downto  4):= nibble;
					hread(linebuf, nibble); addr( 3 downto  0):= nibble;
					-- skip 00
					hread(linebuf, nibble);
					hread(linebuf, nibble);
					-- read bytes
					for i in 1 to CONV_INTEGER(count) loop
						hread(linebuf, nibble); byte(7 downto 4):= nibble;
						hread(linebuf, nibble); byte(3 downto 0):= nibble;
						
						mem(CONV_INTEGER(addr))<= byte;
						
						addr:= addr + 1;
					end loop;
					-- read checksum
					hread(linebuf, nibble); check(7 downto 4):= nibble;
					hread(linebuf, nibble); check(3 downto 0):= nibble;
				end if;
			end loop;
		end procedure;
		
		variable initmem: BOOLEAN:= TRUE;
		
	begin
		
		if initmem then
			write( output, "SRAM initialization...." );
			hexrecord(fname, mem);
			initmem:= FALSE;
		else
			if CE= '0' then
				if WE= '0' then
					mem(CONV_INTEGER(A))<= D;
					-- assert FALSE
					-- report "write access to SRAM!"
					-- severity FAILURE;
				end if;
				
				if OE= '0' then
					D<= mem(CONV_INTEGER(A));
				else 
					D<= (others=> 'Z');
				end if;
			end if;
		end if;
	end process;
	
end Sim;

--------------------------------------------------------------------------------
-- end of file

?? 快捷鍵說(shuō)明

復(fù)制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號(hào) Ctrl + =
減小字號(hào) Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
亚洲成人av在线电影| 91精品国产一区二区| 国产精品黄色在线观看| 国产精选一区二区三区| 久久久精品国产免费观看同学| 美国十次综合导航| 精品国产91九色蝌蚪| 国产麻豆成人传媒免费观看| 国产调教视频一区| a级精品国产片在线观看| 亚洲精品一二三| 欧美日韩国产天堂| 麻豆一区二区三区| 亚洲国产成人午夜在线一区| 99免费精品视频| 五月激情丁香一区二区三区| 日韩欧美国产高清| 懂色av中文字幕一区二区三区| 国产精品国产自产拍高清av王其| 91精品福利视频| 男女男精品视频网| 欧美国产欧美亚州国产日韩mv天天看完整 | 精品国产一区二区精华| 国产精品1024| 亚洲在线观看免费视频| 91精品一区二区三区在线观看| 美女精品自拍一二三四| 欧美激情一区二区三区四区| 色综合一区二区| 免费看黄色91| 亚洲视频1区2区| 日韩一区二区高清| 99re热视频精品| 麻豆91精品91久久久的内涵| 国产精品久久久久久久久晋中| 欧美网站大全在线观看| 国产一区二区三区四区五区入口| 亚洲啪啪综合av一区二区三区| 91精品欧美综合在线观看最新| 国产一区二区免费看| 亚洲高清视频的网址| 日本一区二区三级电影在线观看 | 92精品国产成人观看免费| 丝袜美腿高跟呻吟高潮一区| 国产日韩欧美麻豆| 777xxx欧美| 成人18精品视频| 九九在线精品视频| 亚洲一区二区三区爽爽爽爽爽| 久久青草国产手机看片福利盒子| 91久久精品网| 丁香婷婷深情五月亚洲| 男男成人高潮片免费网站| 亚洲天堂2014| 国产日韩v精品一区二区| 91精品国产一区二区人妖| 色欧美乱欧美15图片| 国产麻豆9l精品三级站| 蜜乳av一区二区| 亚洲高清免费视频| 亚洲乱码国产乱码精品精可以看| 国产偷国产偷精品高清尤物| 日韩欧美中文字幕精品| 欧美高清视频在线高清观看mv色露露十八 | 最近日韩中文字幕| 亚洲国产精品国自产拍av| 欧美大片一区二区三区| 欧美一区二区三区四区视频| 91久久精品一区二区三| 色婷婷激情久久| 91在线丨porny丨国产| 成人免费av在线| 国产成人av电影| 国产98色在线|日韩| 国产东北露脸精品视频| 国产精品一区二区三区乱码 | 中文天堂在线一区| 国产女人aaa级久久久级| 国产网站一区二区| 久久久久久久精| 欧美一区二区三区免费在线看 | 樱花草国产18久久久久| 国产精品日日摸夜夜摸av| 国产日韩三级在线| 国产精品久久三区| 奇米亚洲午夜久久精品| 亚洲精品美腿丝袜| 夜夜嗨av一区二区三区中文字幕| 亚洲三级在线看| 夜夜揉揉日日人人青青一国产精品| 亚洲女人小视频在线观看| 亚洲乱码中文字幕| 亚洲丶国产丶欧美一区二区三区| 亚洲成av人片观看| 蜜臀国产一区二区三区在线播放 | 国产一区二区在线观看免费| 精品在线一区二区| 国产成人免费视频精品含羞草妖精| 丰满岳乱妇一区二区三区| 99这里只有精品| 欧美视频在线观看一区二区| 欧美高清视频一二三区| 337p粉嫩大胆色噜噜噜噜亚洲 | 粉嫩aⅴ一区二区三区四区 | 国产在线精品一区二区三区不卡| 国产一区二区调教| 91麻豆国产福利精品| 欧美日韩在线综合| 精品国产sm最大网站| 中国色在线观看另类| 亚洲专区一二三| 久久99国产精品久久99果冻传媒| 国产激情一区二区三区| 色综合中文字幕国产| 欧美在线999| 久久众筹精品私拍模特| 日韩理论片一区二区| 日本sm残虐另类| 99热精品一区二区| 欧美女孩性生活视频| 久久理论电影网| 亚洲午夜电影在线观看| 国产精品一区二区三区99| 欧洲激情一区二区| 久久奇米777| 亚洲大片一区二区三区| 国产一区欧美日韩| 欧美性欧美巨大黑白大战| 久久婷婷国产综合精品青草 | 亚洲女与黑人做爰| 奇米色777欧美一区二区| 91在线你懂得| 精品国产百合女同互慰| 亚洲一二三区不卡| 国产超碰在线一区| 日韩免费观看高清完整版在线观看| 国产精品久久久久久亚洲伦| 全国精品久久少妇| 在线一区二区三区做爰视频网站| 久久免费视频色| 日本不卡一区二区| 91成人网在线| 中文字幕乱码亚洲精品一区| 日本伊人色综合网| 在线观看91视频| 国产精品第四页| 国产精品夜夜爽| 欧美r级在线观看| 天天色图综合网| 欧美色电影在线| 亚洲男同1069视频| 成人白浆超碰人人人人| 欧美精品一区二区三区很污很色的 | 91麻豆文化传媒在线观看| 久久综合狠狠综合| 乱一区二区av| 欧美一区二区福利在线| 亚洲综合色成人| 日本久久一区二区| 亚洲欧美日韩中文字幕一区二区三区 | 欧美videos中文字幕| 日韩电影在线一区| 欧美日韩视频不卡| 亚洲第一成年网| 欧美剧在线免费观看网站| 亚洲国产裸拍裸体视频在线观看乱了 | 成人激情文学综合网| 久久精品日韩一区二区三区| 国产在线麻豆精品观看| 久久嫩草精品久久久久| 欧美色图激情小说| 亚洲精品成人精品456| 97精品久久久午夜一区二区三区| 国产精品免费网站在线观看| 成人白浆超碰人人人人| 国产精品丝袜黑色高跟| 99久久国产综合色|国产精品| 国产精品伦理一区二区| 99re免费视频精品全部| 亚洲影视在线播放| 欧美三级中文字| 奇米在线7777在线精品| www成人在线观看| 丁香婷婷综合五月| 亚洲精品乱码久久久久久日本蜜臀| 91视频免费播放| 偷拍日韩校园综合在线| 欧美一区二区三区免费| 国产精品一区免费在线观看| 综合婷婷亚洲小说| 欧美午夜精品一区二区三区| 午夜av区久久| 久久久久国产精品厨房| 成人av网站在线观看| 一区二区三区在线免费播放| 欧美日韩精品一区二区在线播放| 裸体歌舞表演一区二区| 国产精品久久综合| 欧美三级电影在线看| 蜜桃av一区二区三区电影|