?? finping8.vhd
字號(hào):
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity fenping8 is
port(clk_4m:in std_logic;
clk14,clk15:out std_logic);
end;
architecture art of fenping8 is
signal cp14 ,cp15 :std_logic;
signal count:std_logic_vector(15 downto 0);
signal s: std_logic_vector(15 downto 0);
begin
process(clk_4m) is
begin
if(clk_4m'event and clk_4m='1') then
if count="1000000000000000" then
count<=(others=>'0');cp14<=not cp14;
else count<=count+1;
end if;
end if;
clk14<=cp14;
end process;
process(cp14) is
begin
if(cp14'event and cp14='1') then
if s="0000000000000010" then
s<=(others=>'0');cp15<=not cp15;
else s<=s+1;
end if;
end if;
clk15<=cp15;
end process;
end;
?? 快捷鍵說明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號(hào)
Ctrl + =
減小字號(hào)
Ctrl + -