?? simgen_init.txt
字號:
SYNTHESIS_ONLY_PARAMETER=IGNORE_CARRY_BUFFERS
SYNTHESIS_ONLY_PARAMETER=IGNORE_CASCADE_BUFFERS
SYNTHESIS_ONLY_PARAMETER=AUTO_CARRY_CHAINS
SYNTHESIS_ONLY_PARAMETER=AUTO_CASCADE_CHAINS
SYNTHESIS_ONLY_PARAMETER=CBXI_PARAMETER
SYNTHESIS_ONLY_PARAMETER=CARRY_CHAIN
SYNTHESIS_ONLY_PARAMETER=CASCADE_CHAIN
SYNTHESIS_ONLY_PARAMETER=CHAIN_SIZE
SYNTHESIS_ONLY_PARAMETER=ONE_INPUT_IS_CONSTANT
SYNTHESIS_ONLY_PARAMETER=BYTE_SIZE_BLOCK
SYNTHESIS_ONLY_PARAMETER=MAXIMUM_DEPTH
SYNTHESIS_ONLY_PARAMETER=POWER_UP_STATE
SYNTHESIS_ONLY_PARAMETER=SUPPRESS_MEMORY_CONVERSION_WARNINGS
SYNTHESIS_ONLY_PARAMETER=CARRY_CHAIN_LENGTH
SYNTHESIS_ONLY_PARAMETER=CARRY_CNT_EN
SYNTHESIS_ONLY_PARAMETER=USE_NEW_VERSION
SYNTHESIS_ONLY_PARAMETER=NOT_GATE_PUSH_BACK
SYNTHESIS_ONLY_PARAMETER=LABWIDE_SCLR
SYNTHESIS_ONLY_PARAMETER=CARRY_CHAIN_SIZE
SYNTHESIS_ONLY_PARAMETER=USE_WYSIWYG
SYNTHESIS_ONLY_PARAMETER=REGISTER_ODD_MATCH
SYNTHESIS_ONLY_PARAMETER=ADDER_TYPE
SYNTHESIS_ONLY_PARAMETER=MAXIMIZE_SPEED
SYNTHESIS_ONLY_PARAMETER=REGISTERED_AT_END
SYNTHESIS_ONLY_PARAMETER=ONE_INPUT_IS_CONSTANT
SYNTHESIS_ONLY_PARAMETER=USE_WYS
SYNTHESIS_ONLY_PARAMETER=STYLE
SYNTHESIS_ONLY_PARAMETER=OPTIMIZE_FOR_SPEED
SYNTHESIS_ONLY_PARAMETER=USE_CS_BUFFERS
SYNTHESIS_ONLY_PARAMETER=CHAIN_SIZE
SYNTHESIS_ONLY_PARAMETER=CASCADE_CHAIN_LENGTH
SYNTHESIS_ONLY_PARAMETER=LATENCY
SYNTHESIS_ONLY_PARAMETER=FILE
SYNTHESIS_ONLY_PARAMETER=INPUT_A_IS_CONSTANT
SYNTHESIS_ONLY_PARAMETER=INPUT_B_IS_CONSTANT
SYNTHESIS_ONLY_PARAMETER=TECHNOLOGY_MAPPER
SYNTHESIS_ONLY_PARAMETER=DEDICATED_MULTIPLIER_CIRCUITRY
SYNTHESIS_ONLY_PARAMETER=DEDICATED_MULTIPLIER_MIN_INPUT_WIDTH_FOR_AUTO
SYNTHESIS_ONLY_PARAMETER=DEDICATED_MULTIPLIER_MIN_OUTPUT_WIDTH_FOR_AUTO
SYNTHESIS_ONLY_PARAMETER=ENABLE_RAM_BENCHMARKING_MODE
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=lpm_ram_dq
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=lpm_ram_dp
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=lpm_ram_io
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=lpm_rom
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=altmult_add
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=altclklock
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=altddio_in
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=altddio_out
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=altddio_bidir
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=altpll
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=altcdr_rx
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=altcdr_tx
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=altlvds_rx
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=altlvds_tx
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=altdpram
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=alt3pram
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=altsyncram
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=scfifo
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=dcfifo
COMPONENT_NEEDS_INTENDED_DEVICE_FAMILY=altmult_accum
VHDL_LIBRARY=dcfifo:altera_mf.altera_mf_components
VHDL_LIBRARY=scfifo:altera_mf.altera_mf_components
VHDL_LIBRARY=parallel_add:altera_mf.altera_mf_components
VHDL_LIBRARY=altgxb:altgxb_lib.stratixgx_mf_components
VHDL_LIBRARY=latch:sgate.sgate_pack
VHDL_LIBRARY=lpm*:lpm.lpm_components
VHDL_LIBRARY=alt*:altera_mf.altera_mf_components
SPL_STRING_PARAMETER=lpm_avalue
SPL_STRING_PARAMETER=lpm_svalue
SPL_STRING_PARAMETER=DOWN_SPREAD
SPL_STRING_PARAMETER=CLK0_PHASE_SHIFT
SPL_STRING_PARAMETER=CLK1_PHASE_SHIFT
SPL_STRING_PARAMETER=CLK2_PHASE_SHIFT
SPL_STRING_PARAMETER=CLK3_PHASE_SHIFT
SPL_STRING_PARAMETER=CLK4_PHASE_SHIFT
SPL_STRING_PARAMETER=CLK5_PHASE_SHIFT
SPL_STRING_PARAMETER=CLK0_TIME_DELAY
SPL_STRING_PARAMETER=CLK1_TIME_DELAY
SPL_STRING_PARAMETER=CLK2_TIME_DELAY
SPL_STRING_PARAMETER=CLK3_TIME_DELAY
SPL_STRING_PARAMETER=CLK4_TIME_DELAY
SPL_STRING_PARAMETER=CLK5_TIME_DELAY
SPL_STRING_PARAMETER=CLK0_COUNTER
SPL_STRING_PARAMETER=CLK1_COUNTER
SPL_STRING_PARAMETER=CLK2_COUNTER
SPL_STRING_PARAMETER=CLK3_COUNTER
SPL_STRING_PARAMETER=CLK4_COUNTER
SPL_STRING_PARAMETER=CLK5_COUNTER
SPL_STRING_PARAMETER=SCLKOUT0_PHASE_SHIFT
SPL_STRING_PARAMETER=SCLKOUT1_PHASE_SHIFT
SPL_STRING_PARAMETER=EXTCLK0_PHASE_SHIFT
SPL_STRING_PARAMETER=EXTCLK1_PHASE_SHIFT
SPL_STRING_PARAMETER=EXTCLK2_PHASE_SHIFT
SPL_STRING_PARAMETER=EXTCLK3_PHASE_SHIFT
SPL_STRING_PARAMETER=EXTCLK0_TIME_DELAY
SPL_STRING_PARAMETER=EXTCLK1_TIME_DELAY
SPL_STRING_PARAMETER=EXTCLK2_TIME_DELAY
SPL_STRING_PARAMETER=EXTCLK3_TIME_DELAY
SPL_STRING_PARAMETER=EXTCLK0_COUNTER
SPL_STRING_PARAMETER=EXTCLK1_COUNTER
SPL_STRING_PARAMETER=EXTCLK2_COUNTER
SPL_STRING_PARAMETER=EXTCLK3_COUNTER
SPL_STRING_PARAMETER=C1_USE_CASC_IN
SPL_STRING_PARAMETER=C2_USE_CASC_IN
SPL_STRING_PARAMETER=C3_USE_CASC_IN
SPL_STRING_PARAMETER=C4_USE_CASC_IN
SPL_STRING_PARAMETER=C5_USE_CASC_IN
SPL_STRING_PARAMETER=LOOP_FILTER_R
NEW_PARAMETER_VALUE=NO_FILE:UNUSED
NEW_PARAMETER_VALUE=DEFAULT:UNUSED
FAMILY_SYNTHESIS_PARAMETER=TECHNOLOGY_MAPPER
FAMILY_SYNTHESIS_PARAMETER=CARRY_CHAIN_LENGTH
FAMILY_SYNTHESIS_PARAMETER=CASCADE_CHAIN_LENGTH
LPM_HINT_PARAMETER=USE_EAB:alt3pram,altdpram,lpm_fifo_dc,lpm_ram_dp,lpm_ram_io
LPM_HINT_PARAMETER=LPM_REMAINDERPOSITIVE:lpm_divide
LPM_HINT_PARAMETER=INPUT_A_FIXED_VALUE:lpm_mult
LPM_HINT_PARAMETER=INPUT_B_FIXED_VALUE:lpm_mult
SPL_STRING_PARAMETER=gstratix_dqs_phase
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -