?? wallace_tb.v
字號:
`timescale 10ns/100ps
module wallace_wallace_tb_v_tf();
// DATE: 09:37:37 04/08/2005
// MODULE: wallace
// DESIGN: wallace
// FILENAME: wallace_tb.v
// PROJECT: mult
// VERSION:
// Inputs
reg [7:0] x;
reg [7:0] y;
// Outputs
wire [15:0] p;
// Bidirs
// Instantiate the UUT
wallace uut (
.x(x),
.y(y),
.p(p)
);
// Initialize Inputs
`ifdef auto_init
initial begin
x = 0;
y = 0;
end
`endif
initial begin
$monitor("x = %d, y = %d, result = %d;\n",x,y,p);
#10 x = 1; y = 2;
#10 x = 1; y = 2;
#10 x = 125; y = 3;
#10 x = 1; y = 1;
#10 x = 2; y = 2;
#10 x = 1; y = 2;
#10 x = 2; y = 2;
#10 x = 3; y = 2;
#10 x = 2; y = 3;
#10 x = 3; y = 3;
#10 x = 4; y = 1;
#10 x = 2; y = 4;
#10 x = 5; y = 1;
#10 x = 2; y = 5;
#10 x = 6; y = 3;
#10 x = 7; y = 7;
#10 x = 8; y = 1;
#10 x = 8; y = 7;
#10 x = 9; y = 3;
#10 x = 10; y = 4;
#10 x = 5; y = 11;
#10 x = 6; y = 12;
#10 x = 13; y = 3;
#10 x = 14; y = 5;
#10 x = 0; y = 15;
#10 x = 15; y = 0;
#10 x = 15; y = 1;
#10 x = 1; y = 15;
#10 x = 15; y = 2;
#10 x = 2; y = 15;
#10 y = 14; x = 7;
#10 y = 15; x = 7;
#10 x = 15; y = 13;
#10 x = 13; y = 15;
#10 x = 15; y = 14;
#10 x = 14; y = 15;
#10 x = 15; y = 15;
$finish;
end
endmodule
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -