亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? tb_mc8051_siu_sim.vhd

?? mc8051內核,VHDL程序,內有說明,超詳細.
?? VHD
字號:
---------------------------------------------------------------------------------                                                                           ----          X       X   XXXXXX    XXXXXX    XXXXXX    XXXXXX      X          ----          XX     XX  X      X  X      X  X      X  X           XX          ----          X X   X X  X         X      X  X      X  X          X X          ----          X  X X  X  X         X      X  X      X  X         X  X          ----          X   X   X  X          XXXXXX   X      X   XXXXXX      X          ----          X       X  X         X      X  X      X         X     X          ----          X       X  X         X      X  X      X         X     X          ----          X       X  X      X  X      X  X      X         X     X          ----          X       X   XXXXXX    XXXXXX    XXXXXX    XXXXXX      X          ----                                                                           ----                                                                           ----                       O R E G A N O   S Y S T E M S                       ----                                                                           ----                            Design & Consulting                            ----                                                                           -----------------------------------------------------------------------------------                                                                           ----         Web:           http://www.oregano.at/                             ----                                                                           ----         Contact:       mc8051@oregano.at                                  ----                                                                           -----------------------------------------------------------------------------------                                                                           ----  MC8051 - VHDL 8051 Microcontroller IP Core                               ----  Copyright (C) 2001 OREGANO SYSTEMS                                       ----                                                                           ----  This library is free software; you can redistribute it and/or            ----  modify it under the terms of the GNU Lesser General Public               ----  License as published by the Free Software Foundation; either             ----  version 2.1 of the License, or (at your option) any later version.       ----                                                                           ----  This library is distributed in the hope that it will be useful,          ----  but WITHOUT ANY WARRANTY; without even the implied warranty of           ----  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU        ----  Lesser General Public License for more details.                          ----                                                                           ----  Full details of the license can be found in the file LGPL.TXT.           ----                                                                           ----  You should have received a copy of the GNU Lesser General Public         ----  License along with this library; if not, write to the Free Software      ----  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA  ----                                                                           ---------------------------------------------------------------------------------------         Author:                 Roland H鰈ler----         Filename:               tb_mc8051_siu_sim.vhd----         Date of Creation:       Mon Aug  9 12:14:48 1999----         Version:                $Revision: 1.5 $----         Date of Latest Version: $Date: 2002/01/07 12:16:57 $------         Description: Module level testbench for the serial interface --                      unit.---------------------------------------------------------------------------------------architecture sim of tb_mc8051_siu is  signal clk     : std_logic;  			  --< system clock  signal reset   : std_logic;  			  --< system reset  signal s_tf    : std_logic;  			  --< timer1 overflow flag  signal s_trans : std_logic;  			  --< 1 activates transm.  signal s_rxd   : std_logic;  			  --< serial data input  signal s_scon  : std_logic_vector(5 downto 0);  --< from SFR register  						  --< bits 7 to 3  signal s_sbuf  : std_logic_vector(7 downto 0);  --< data for transm.  signal s_smod  : std_logic;  			  --< low(0)/high baudrate  signal s_sbuf_out : std_logic_vector(7 downto 0);  --< received data   signal s_scon_out : std_logic_vector(2 downto 0);  --< to SFR register   						     --< bits 0 to 2  signal s_rxd_out  : std_logic;  		     --< mode0 data output  signal s_txd_out  : std_logic;  		     --< serial data output        signal clk_p     : std_logic;  		    --< system clock  signal s_tf_p    : std_logic;  		    --< timer1 overflow flag  signal s_trans_p : std_logic;  		    --< 1 activates transm.  signal s_rxd_p   : std_logic;  		    --< serial data input  signal s_rxd0_p  : std_logic;  		    --< serial data input  signal s_rxd1_p  : std_logic;  		    --< serial data input  signal s_rxd2_p  : std_logic;  		    --< serial data input  signal s_rxd3_p  : std_logic;  		    --< serial data input  signal s_scon_p  : std_logic_vector(5 downto 0);  --< from SFR register  						    --< bits 7 to 3  signal s_sbuf_p  : std_logic_vector(7 downto 0);  --< data for transm.  signal s_smod_p  : std_logic;  		    --< low(0)/high baudrate  signal s_sbuf_out_p : std_logic_vector(7 downto 0);  --< received data   signal s_scon_out_p : std_logic_vector(2 downto 0);  --< to SFR register   						       --< bits 0 to 2  signal s_rxdwr      : std_logic;                     --< rxd direction signal  signal s_rxdwr_p    : std_logic;                     --< rxd direction signal  signal s_rxd_out_p  : std_logic;  		       --< mode0 data output  signal s_txd_out_p  : std_logic;  		       --< serial data output      signal s_serialdata     : std_logic;  begin  s_serialdata <= s_txd_out when s_scon(4 downto 3) /= "00" else s_rxd_out;    i_mc8051_siu_active : mc8051_siu    port map (clk     => clk,              reset   => reset,              tf_i    => s_tf,              trans_i => s_trans,              rxd_i   => s_rxd,              scon_i  => s_scon,              sbuf_i  => s_sbuf,              smod_i  => s_smod,              sbuf_o  => s_sbuf_out,              scon_o  => s_scon_out,              rxdwr_o => s_rxdwr,              rxd_o   => s_rxd_out,              txd_o   => s_txd_out);    i_mc8051_siu_passive : mc8051_siu    port map (clk     => clk_p,              reset   => reset,              tf_i    => s_tf_p,              trans_i => s_trans_p,              rxd_i   => s_serialdata,              scon_i  => s_scon_p,              sbuf_i  => s_sbuf_p,              smod_i  => s_smod_p,              sbuf_o  => s_sbuf_out_p,              scon_o  => s_scon_out_p,              rxdwr_o => s_rxdwr_p,              rxd_o   => s_rxd_out_p,              txd_o   => s_txd_out_p);--------------------------------------------------------------------------------- Perform simple selfchecking test for the four operating modes.-------------------------------------------------------------------------------    p_run : process    begin      -------------------------------------------------------------------------      -- set start values and perform reset      -------------------------------------------------------------------------      s_rxd <=  '0';      s_smod  <= '0';      s_trans <= '0';      s_sbuf  <= conv_std_logic_vector(0, 8);      s_scon  <= conv_std_logic_vector(0, 6);      s_smod_p  <= '0';      s_trans_p <= '0';      s_sbuf_p  <= conv_std_logic_vector(0, 8);      s_scon_p  <= conv_std_logic_vector(0, 6);      reset   <= '1';      wait for one_period + one_period/2 + 5 ns;      reset   <= '0';      wait for one_period * 4;      -------------------------------------------------------------------------      -- Testing MODE 0      -------------------------------------------------------------------------      s_scon <= conv_std_logic_vector(0, 6);     -- 000000      s_sbuf  <= conv_std_logic_vector(170, 8);  -- 10101010      s_scon_p <= conv_std_logic_vector(2, 6);   -- 000010      s_sbuf_p <= conv_std_logic_vector(170, 8); -- 10101010      s_trans <= '1';  				 -- start transmission      wait for one_period * 1;      s_trans <= '0';      wait until s_scon_out_p(0) = '1';      s_scon_p <= conv_std_logic_vector(0, 6);   -- 000000      assert s_sbuf_out_p = "10101010"	report "FALSE DATA RECEIVED IN MODE 0! DATA SENT: AAh"	severity failure;      wait for one_period * 600;      s_scon  <= conv_std_logic_vector(0, 6);  	 -- 000000      s_sbuf  <= conv_std_logic_vector(85, 8);   -- 01010101      s_scon_p <= conv_std_logic_vector(2, 6);   -- 000010      s_sbuf_p <= conv_std_logic_vector(16#55#, 8);  -- 01010101      s_trans <= '1';  				 -- start transmission      wait for one_period * 1;      s_trans <= '0';      wait until s_scon_out_p(0) = '1';      s_scon_p <= conv_std_logic_vector(0, 6);   -- 000000      assert s_sbuf_out_p = "01010101"	report "FALSE DATA RECEIVED IN MODE 0! DATA SENT: 55h"	severity failure;      wait for one_period * 600;      -------------------------------------------------------------------------      -- Testing MODE 1      -------------------------------------------------------------------------      s_smod <= '1';      s_scon  <= conv_std_logic_vector(48, 6);   -- 110000  MODE 1 + RI=1      s_sbuf  <= conv_std_logic_vector(170, 8);  -- 10101010      s_smod_p <= '1';      s_scon_p <= conv_std_logic_vector(18, 6);  -- 010010  MODE 1 + RI=0      s_sbuf_p <= conv_std_logic_vector(170, 8); -- 10101010      s_trans <= '1';  				 -- start transmission      wait for one_period * 1;      s_trans <= '0';      wait until s_scon_out_p(0) = '1';      assert s_sbuf_out_p = "10101010"	report "FALSE DATA RECEIVED IN MODE 1! DATA SENT: AAh"	severity failure;      wait for one_period * 600;      s_sbuf  <= conv_std_logic_vector(85, 8);   -- 01010101      s_trans <= '1';  				 -- start transmission      wait for one_period * 1;      s_trans <= '0';      wait until s_scon_out_p(0) = '1';      assert s_sbuf_out_p = "01010101"	report "FALSE DATA RECEIVED IN MODE 1! DATA SENT: 55h"	severity failure;      wait for one_period * 600;      -------------------------------------------------------------------------      -- Testing MODE 2      -------------------------------------------------------------------------      s_smod <= '1';      s_scon  <= conv_std_logic_vector(8, 6);  	 -- 001000  MODE 2      s_sbuf  <= conv_std_logic_vector(171, 8);  -- 10101011      s_smod_p <= '1';      s_scon_p <= conv_std_logic_vector(10, 6);  -- 001010  MODE 2 + REN=1      s_sbuf_p <= conv_std_logic_vector(171, 8); -- 10101011      s_trans <= '1';  				 -- start transmission      wait for one_period * 1;      s_trans <= '0';      wait until s_scon_out_p(0) = '1';      assert s_sbuf_out_p = "10101011"	report "FALSE DATA RECEIVED IN MODE 2! DATA SENT: ABh"	severity failure;      wait for one_period * 400;      s_sbuf  <= conv_std_logic_vector(86, 8);   -- 01010110      s_trans <= '1';  				 -- start transmission      wait for one_period * 1;      s_trans <= '0';      wait until s_scon_out_p(0) = '1';      assert s_sbuf_out_p = "01010110"	report "FALSE DATA RECEIVED IN MODE 2! DATA SENT: 56h"	severity failure;      wait for one_period * 400;      -------------------------------------------------------------------------      -- Testing MODE 3      -------------------------------------------------------------------------      s_scon <= conv_std_logic_vector(16#78#, 6);   -- 111000  MODE 3 + RI=1      s_sbuf  <= conv_std_logic_vector(16#BE#, 8);  -- 10111110      s_scon_p <= conv_std_logic_vector(16#1A#, 6); -- 011010  MODE 3 + REN=1      s_trans <= '1';  				    -- start transmission      wait for one_period * 1;      s_trans <= '0';      wait until s_scon_out_p(0) = '1';      assert s_sbuf_out_p = "10111110"	report "FALSE DATA RECEIVED IN MODE 3! DATA SENT: BEh"	severity failure;      wait for one_period * 4000;      s_sbuf  <= conv_std_logic_vector(16#55#, 8);  -- 01010101      s_smod  <= '0';      s_smod_p <= '0';      s_trans <= '1';  				    -- start transmission      wait for one_period * 1;      s_trans <= '0';      wait until s_scon_out_p(0) = '1';      assert s_sbuf_out_p = "01010101"	report "FALSE DATA RECEIVED IN MODE 3! DATA SENT: 55h"	severity failure;      wait for one_period * 4000;      s_smod  <= '1';      s_scon  <= conv_std_logic_vector(26, 6);   -- 011010 start reception      wait for one_period * 7560;      -------------------------------------------------------------------------      -- END of test       -------------------------------------------------------------------------      wait for one_period * 10;      assert false report "SIMULATION ENDED WITHOUT ERROR!!" severity failure;    end process p_run;---------------------------------------------------------------------------------------------------------------------------------------------------------------- System clock definition-------------------------------------------------------------------------------      clk_p <= clk after 37 ns;      p_clock : process        variable v_loop1 : integer;      begin        clk <= '0';        wait for one_period / 2;        while true loop          clk <= not clk;          wait for one_period / 2;        end loop;      end process p_clock;---------------------------------------------------------------------------------------------------------------------------------------------------------------- Generate timer1 overflow flag-------------------------------------------------------------------------------    s_tf_p <= s_tf after 200 ns;    p_tf : process      variable v_loop1 : integer;    begin      s_tf <= '0';      wait for one_period + one_period / 2 + 5 ns;      if s_scon(4 downto 3) = conv_std_logic_vector(2, 2) then  -- Mode 1        while true loop          s_tf <= not s_tf;          wait for one_period * 20;        end loop;      else        s_tf <= '0';      end if;    end process p_tf;-------------------------------------------------------------------------------end sim;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
国产三级欧美三级日产三级99| 成人h动漫精品一区二| 欧美高清视频www夜色资源网| 亚洲一区精品在线| 在线不卡免费欧美| 黑人巨大精品欧美黑白配亚洲| 久久精品视频一区二区三区| 国产精品一区二区三区网站| 亚洲国产精品ⅴa在线观看| 99久久国产综合精品麻豆| 一区二区三区四区视频精品免费| 欧美午夜片在线观看| 免费在线看成人av| 国产日韩在线不卡| 色又黄又爽网站www久久| 五月激情综合婷婷| 国产欧美一区二区三区在线老狼| 99在线精品观看| 日韩精品成人一区二区三区| 久久精品亚洲精品国产欧美| 在线视频你懂得一区二区三区| 免费黄网站欧美| 国产精品的网站| 欧美精品一级二级三级| 豆国产96在线|亚洲| 一区二区三区四区精品在线视频| 日韩欧美的一区| 99精品视频一区二区| 秋霞影院一区二区| 亚洲精品日韩综合观看成人91| 日韩欧美aaaaaa| 日本韩国欧美一区| 国产精品一区二区免费不卡 | 欧美日韩情趣电影| 国产在线不卡视频| 亚洲一区二区精品久久av| 久久精品网站免费观看| 欧美乱熟臀69xxxxxx| 不卡一卡二卡三乱码免费网站| 亚洲电影你懂得| 国产精品全国免费观看高清| 日韩欧美第一区| 欧美日免费三级在线| 丁香啪啪综合成人亚洲小说 | 777奇米成人网| av电影在线不卡| 免费人成在线不卡| 亚洲最新视频在线观看| 欧美国产精品v| 精品久久免费看| 欧美日韩午夜影院| 91免费精品国自产拍在线不卡| 国产一区福利在线| 日韩激情在线观看| 同产精品九九九| 亚洲午夜激情av| 亚洲天堂精品视频| 国产精品久久久久影院亚瑟 | 国产午夜久久久久| 欧美大胆一级视频| 6080国产精品一区二区| 欧美在线制服丝袜| 色婷婷综合久色| 一本久久精品一区二区| 成人免费视频一区二区| 国产老女人精品毛片久久| 久久精品国产成人一区二区三区| 日日摸夜夜添夜夜添亚洲女人| 亚洲妇熟xx妇色黄| 偷拍亚洲欧洲综合| 视频在线观看一区| 日韩专区一卡二卡| 亚洲一区二区三区视频在线| 一区二区成人在线视频| 一区二区三区精品视频| 亚洲永久精品国产| 亚洲国产视频在线| 午夜久久电影网| 日韩高清一区在线| 美女视频黄免费的久久| 看国产成人h片视频| 韩国v欧美v亚洲v日本v| 国内一区二区视频| 国产精品自拍毛片| 懂色一区二区三区免费观看| 成人免费va视频| 日本精品免费观看高清观看| 欧美日韩激情一区二区| 91精品国产综合久久精品| 精品国产一区二区三区av性色| 2020国产精品| 欧美高清在线精品一区| 亚洲女爱视频在线| 日韩制服丝袜av| 国产精品资源在线观看| 99国产欧美久久久精品| 欧美吻胸吃奶大尺度电影| 日韩西西人体444www| 久久综合av免费| 中文字幕视频一区二区三区久| 一区二区三区在线播| 蜜臀av性久久久久蜜臀av麻豆 | 99re热视频这里只精品| 欧美视频一区二区三区在线观看| 91精品国产黑色紧身裤美女| 久久久精品蜜桃| 亚洲免费观看高清完整| 日本vs亚洲vs韩国一区三区二区 | 有码一区二区三区| 奇米四色…亚洲| 国产高清精品网站| 欧美优质美女网站| 精品不卡在线视频| 亚洲男人天堂av网| 精品一区二区免费看| 一本色道久久加勒比精品| 欧美不卡123| 亚洲黄色录像片| 国产一区91精品张津瑜| 在线一区二区观看| 国产日韩欧美a| 日本亚洲一区二区| 色婷婷综合久久久久中文| 欧美成人在线直播| 一区二区三区在线免费视频| 国内精品免费**视频| 色综合久久久久久久久| 欧美成人video| 伊人色综合久久天天| 国产精品一区一区| 在线播放中文一区| 亚洲视频中文字幕| 成人在线一区二区三区| 日韩午夜激情av| 一区av在线播放| www.日韩在线| 久久精品夜色噜噜亚洲a∨| 亚洲高清久久久| 色老综合老女人久久久| 欧美国产国产综合| 国产毛片精品视频| 欧美一区二区三区免费大片| 亚洲在线视频网站| 色狠狠色狠狠综合| 亚洲视频一二三| 高清日韩电视剧大全免费| 2014亚洲片线观看视频免费| 免费精品视频在线| 欧美精品在线一区二区| 亚洲韩国精品一区| 色诱亚洲精品久久久久久| 《视频一区视频二区| 成人黄色av网站在线| 国产日韩欧美激情| 国产激情精品久久久第一区二区| 日韩精品一区在线观看| 日产精品久久久久久久性色| 欧美精品一级二级三级| 婷婷激情综合网| 欧美精品久久久久久久多人混战| 亚洲一区二区欧美| 欧美日本在线一区| 视频在线观看一区二区三区| 欧美日韩成人激情| 日韩国产精品久久| 日韩视频免费观看高清完整版在线观看| 丝袜美腿亚洲色图| 777xxx欧美| 精品一区二区久久| 国产欧美日韩视频一区二区| 国产·精品毛片| 亚洲丝袜制服诱惑| 欧美亚洲日本一区| 日韩黄色免费网站| 精品国产制服丝袜高跟| 国产精品99久久久久久似苏梦涵| 国产日产精品1区| www.视频一区| 亚洲午夜久久久久久久久久久| 欧美日韩国产成人在线免费| 免费成人在线观看视频| 2020国产精品自拍| caoporm超碰国产精品| 伊人一区二区三区| 日韩午夜在线影院| 国产成人精品免费网站| 亚洲欧美日韩久久精品| 欧美日韩精品福利| 国产麻豆精品在线| 亚洲视频免费在线| 欧美久久久久久久久| 国产精品1区2区3区在线观看| 国产精品成人一区二区艾草| 欧美视频精品在线观看| 国内成人精品2018免费看| 中文字幕一区av| 制服.丝袜.亚洲.另类.中文| 国产成人av一区二区三区在线观看| 亚洲日本在线a| 日韩免费高清电影|