亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? uart.vhd

?? 用VHDL實現的一個uart控制器
?? VHD
字號:
LIBRARY IEEE;
use	IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_1164.ALL;

--實現一個FIFO控制器
--TXD 數據發送
--signal 

ENTITY UART IS 
	PORT(
		TXD:	OUT STD_LOGIC;
		RSTN:	IN STD_LOGIC;
		CLK:    IN STD_LOGIC;
		UART_BUSY: OUT STD_LOGIC;
		
		data: 	in std_logic_vector(7 downto 0);
--		debug_uart_res_tmp: out std_logic;		
--		debug_uart_data: 	out std_logic_vector(7 downto 0);
		data_en:	in std_logic
	);
END UART;

ARCHITECTURE UART_ARCH OF UART IS 
	SIGNAL uart_data:std_logic_vector(7 downto 0);
--	signal data_en:std_logic; 
	signal uart_clk:	std_logic;
	signal uart_res_tmp:	std_logic;
	signal uart_state: std_logic_vector (3 downto 0);
	signal uart_timer: std_logic_vector (9 downto 0);
--	signal buff0,buff1,buff2,buff3: std_logic_vector(31 downto 0); --用于數據緩沖
	
BEGIN
--	debug_uart_data <=uart_data;
--	debug_uart_res_tmp <= uart_res_tmp;
	
	process(rstn,uart_clk,uart_state)
	begin
		if(uart_clk'event and uart_clk='1') then
			case uart_state is 
				when "0000"=> if(uart_res_tmp='1') then
								 uart_state <= "0001";
							  else
								 txd <= '0';   --空閑
							  end if;
			    when "0001"=> txd <= '1';   --起始位
							  uart_state <= uart_state +'1';
				when "0010"=> txd <= not uart_data(0);
							  uart_state <= uart_state +'1';
				when "0011"=> txd <= not uart_data(1);
							  uart_state <= uart_state +'1';
				when "0100"=> txd <= not uart_data(2);
							  uart_state <= uart_state +'1';
				when "0101"=> txd <= not uart_data(3);
							  uart_state <= uart_state +'1';
				when "0110"=> txd <= not uart_data(4);
							  uart_state <= uart_state +'1';
				when "0111"=> txd <= not uart_data(5);
							  uart_state <= uart_state +'1';
				when "1000"=> txd <= not uart_data(6);
							  uart_state <= uart_state +'1';
				when "1001"=> txd <= not uart_data(7);
							  uart_state <= uart_state +'1';
				when "1010"=> txd <='0';    -- 停止位
							  uart_state <= "0000";  --置空閑
				when others=> uart_state <= "0000";							  
			end case;
			
		end if;
		
		if(rstn ='0') then
			txd <='0';
			uart_state <="0000";
		end if;
	end process;
	
-- 從33M時鐘獲取11.0592k的時鐘
	-- 從33M時鐘獲取11.0592k的時鐘
	process(rstn,clk,uart_timer)
	begin
		if(clk'event and clk='1') then
			uart_timer <= uart_timer +'1';
			
			if(uart_timer = "0010001111") then
			--if(uart_timer = "0000000001") then		
				uart_clk <='0';
			elsif(uart_timer="0100011110") then
			--elsif(uart_timer="0000000010") then			
				uart_clk <='1';
			elsif(uart_timer="0110101101") then
			--elsif(uart_timer="0000000011") then			
				uart_clk <='0';
			elsif(uart_timer="1000111101") then
			--elsif(uart_timer="0000000100") then			
				uart_clk <='1';
				uart_timer <="0000000000";
			end if;
			
		end if;
		
		if(rstn ='0') then
			uart_clk<='1';
			uart_timer<="0000000000";
		end if;				
	end process;
--	uart_clk <= clk;
	
	--根據data_en/uart_res 設置uart_res_tmp變量的值
	process(rstn,clk,data_en)
	begin
		if(clk'event and clk='1') then
			if(data_en ='0') then
				uart_res_tmp <='1';  --要求發送數據
				uart_busy <='0';
				uart_data <= data(7 downto 0);
			elsif(uart_state ="0000" and uart_res_tmp<='0') then
				uart_busy <='1';
			end if;
			if(uart_state/="0000") then
				uart_res_tmp <='0';
			end if;			
		end if;
		
		if(rstn ='0') then
			uart_res_tmp <='0';
			uart_data <=X"FF";
			uart_busy <='1';
		end if;
	end process;

END UART_ARCH;		

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
午夜在线电影亚洲一区| 一二三区精品视频| 欧美精彩视频一区二区三区| 国产精品免费av| 亚洲麻豆国产自偷在线| 亚洲国产sm捆绑调教视频 | 国产精品看片你懂得| 亚洲靠逼com| 麻豆精品国产传媒mv男同| 丁香六月久久综合狠狠色| 国产91精品一区二区麻豆网站| 99视频有精品| 日韩欧美一区二区不卡| 国产精品欧美极品| 亚洲一区在线视频| 极品少妇一区二区| 色综合色狠狠综合色| 日韩欧美一区二区免费| 中文字幕欧美日韩一区| 午夜精品久久久久影视| 不卡的av在线播放| 欧美电影免费观看高清完整版| 亚洲欧美一区二区三区极速播放| 亚洲国产sm捆绑调教视频| 成人免费黄色在线| 日韩一区二区三区精品视频| 亚洲欧美色图小说| 激情深爱一区二区| 欧美肥胖老妇做爰| 亚洲免费在线电影| 成人深夜福利app| 91精选在线观看| 伊人色综合久久天天人手人婷| 国产精品亚洲视频| 日韩欧美久久久| 一区二区三区四区在线播放 | 欧美日韩午夜在线视频| 国产精品成人一区二区艾草| 久久精品国产在热久久| 欧美日韩一本到| 亚洲黄网站在线观看| 六月婷婷色综合| 欧美日韩激情一区二区| 亚洲成人资源在线| 欧美性猛交xxxx黑人交| 国产精品国产精品国产专区不蜜| 国产在线精品国自产拍免费| 91精品国产黑色紧身裤美女| 香蕉久久一区二区不卡无毒影院 | 国产精品久久影院| 国产不卡视频在线观看| 国产婷婷一区二区| 国产精品自拍一区| 国产欧美一区二区精品性| 国产高清不卡一区二区| 久久久美女艺术照精彩视频福利播放| 免费观看30秒视频久久| 欧美久久久久久蜜桃| 天堂蜜桃一区二区三区| 欧美一级一区二区| 久久99国内精品| 久久久午夜精品| 成人教育av在线| 国产精品另类一区| 国v精品久久久网| 亚洲国产精品激情在线观看| 国产盗摄一区二区三区| 中文字幕日韩精品一区| 日本韩国精品在线| 亚洲电影第三页| 欧美xxxxx裸体时装秀| 国产精品中文字幕一区二区三区| 久久久精品天堂| 99久久综合狠狠综合久久| 男人操女人的视频在线观看欧美| av成人老司机| 男男成人高潮片免费网站| 国产精品电影院| 日韩欧美另类在线| 色噜噜狠狠色综合欧洲selulu| 日本免费新一区视频| 中文字幕中文字幕一区| 精品美女一区二区| 欧美视频在线不卡| 99在线精品观看| 国产伦精一区二区三区| 日韩专区在线视频| 有坂深雪av一区二区精品| 久久久影院官网| 欧美一区二区三区人| 在线一区二区三区四区| av一区二区三区四区| 国产成人精品一区二区三区网站观看 | 人人狠狠综合久久亚洲| ...xxx性欧美| 国产精品无遮挡| 久久综合999| 日韩亚洲电影在线| 91精品国产一区二区| 欧美性受xxxx黑人xyx性爽| www.欧美.com| 成人avav在线| 成人免费视频一区| 从欧美一区二区三区| 国产精品一区免费视频| 久久成人免费电影| 精品无人码麻豆乱码1区2区 | 成人免费视频app| 国产精品小仙女| 国产一区在线观看视频| 精品亚洲免费视频| 国产一区二区三区蝌蚪| 国产麻豆精品视频| 国产高清精品网站| 成人激情免费网站| eeuss鲁片一区二区三区 | 一区二区视频在线看| 自拍偷拍亚洲综合| 一区二区三区中文免费| 一区二区三区电影在线播| 亚洲另类中文字| 香蕉av福利精品导航| 日韩精品久久理论片| 免费成人在线影院| 韩国三级电影一区二区| 粉嫩在线一区二区三区视频| 成人国产一区二区三区精品| 91碰在线视频| 91.com在线观看| 久久老女人爱爱| 国产精品久久久久精k8 | 欧美性色aⅴ视频一区日韩精品| 欧美主播一区二区三区美女| 欧美日韩免费一区二区三区 | 亚洲欧洲成人自拍| 亚洲精品乱码久久久久| 偷拍日韩校园综合在线| 久久99久久久久久久久久久| 黑人精品欧美一区二区蜜桃| 成人av资源在线| 欧美日韩成人在线| 日韩欧美国产一区在线观看| 久久男人中文字幕资源站| 国产精品看片你懂得 | 亚洲少妇最新在线视频| 午夜电影久久久| 国产精品综合二区| av不卡一区二区三区| 欧美日韩一区三区| 精品国产乱码久久久久久久| 国产精品乱码一区二三区小蝌蚪| 亚洲无人区一区| 国产美女视频一区| 91网站最新网址| 日韩欧美中文字幕一区| 中文字幕一区二区视频| 热久久一区二区| aaa欧美日韩| 欧美一级高清大全免费观看| 欧美激情一区在线观看| 五月婷婷色综合| 成人高清视频免费观看| 日韩一区二区免费视频| 国产精品午夜久久| 青青草97国产精品免费观看无弹窗版| 成人免费毛片a| 日韩天堂在线观看| 亚洲精品乱码久久久久久日本蜜臀| 午夜精品久久久久久久久久 | 国产真实乱对白精彩久久| 欧美伊人久久久久久久久影院| 2023国产精品视频| 亚洲一区二区偷拍精品| 不卡视频一二三| 精品毛片乱码1区2区3区| 亚洲电影视频在线| 色婷婷精品大视频在线蜜桃视频| 久久婷婷国产综合精品青草| 亚洲国产另类av| 91一区二区在线观看| 久久色视频免费观看| 日本色综合中文字幕| 欧美在线看片a免费观看| 1024亚洲合集| 成人免费的视频| 精品播放一区二区| 蜜臀久久久久久久| 777xxx欧美| 亚洲一区二区不卡免费| 日本韩国欧美三级| 日韩美女视频一区二区 | 一级精品视频在线观看宜春院 | 综合色中文字幕| 成人午夜碰碰视频| 国产欧美一区二区三区网站 | 免费视频最近日韩| 51精品久久久久久久蜜臀| 亚洲国产成人tv| 欧美撒尿777hd撒尿| 亚洲国产色一区|