亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? jtag_uart_0.v

?? verilog 代碼
?? V
?? 第 1 頁 / 共 2 頁
字號:
      .num_bytes (num_bytes),
      .q         (fifo_rdata),
      .reset_n   (rst_n),
      .safe      (safe)
    );

  // Generate rfifo_entries for simulation
  always @(posedge clk or negedge rst_n)
    begin
      if (rst_n == 0)
        begin
          bytes_left <= 32'h0;
          fifo_rd_d <= 1'b0;
        end
      else 
        begin
          fifo_rd_d <= fifo_rd;
          // decrement on read
          if (fifo_rd_d)
              bytes_left <= bytes_left - 1'b1;
          // catch new contents
          if (new_rom)
              bytes_left <= num_bytes;
        end
    end


  assign fifo_EF = bytes_left == 32'b0;
  assign rfifo_full = bytes_left > 7'h40;
  assign rfifo_entries = (rfifo_full) ? 7'h40 : bytes_left;
  assign rfifo_used = rfifo_entries[5 : 0];

//////////////// END SIMULATION-ONLY CONTENTS

//synthesis translate_on


endmodule


module jtag_uart_0_scfifo_r (
                              // inputs:
                               clk,
                               fifo_rd,
                               rst_n,
                               t_dat,
                               wr_rfifo,

                              // outputs:
                               fifo_EF,
                               fifo_rdata,
                               rfifo_full,
                               rfifo_used
                            );

  output           fifo_EF;
  output  [  7: 0] fifo_rdata;
  output           rfifo_full;
  output  [  5: 0] rfifo_used;
  input            clk;
  input            fifo_rd;
  input            rst_n;
  input   [  7: 0] t_dat;
  input            wr_rfifo;

  wire             fifo_EF;
  wire    [  7: 0] fifo_rdata;
  wire             rfifo_full;
  wire    [  5: 0] rfifo_used;

//synthesis translate_off
//////////////// SIMULATION-ONLY CONTENTS
  jtag_uart_0_sim_scfifo_r the_jtag_uart_0_sim_scfifo_r
    (
      .clk        (clk),
      .fifo_EF    (fifo_EF),
      .fifo_rd    (fifo_rd),
      .fifo_rdata (fifo_rdata),
      .rfifo_full (rfifo_full),
      .rfifo_used (rfifo_used),
      .rst_n      (rst_n)
    );


//////////////// END SIMULATION-ONLY CONTENTS

//synthesis translate_on
//synthesis read_comments_as_HDL on
//  scfifo rfifo
//    (
//      .clock (clk),
//      .data (t_dat),
//      .empty (fifo_EF),
//      .full (rfifo_full),
//      .q (fifo_rdata),
//      .rdreq (fifo_rd),
//      .usedw (rfifo_used),
//      .wrreq (wr_rfifo)
//    );
//
//  defparam rfifo.lpm_hint = "RAM_BLOCK_TYPE=AUTO",
//           rfifo.lpm_numwords = 64,
//           rfifo.lpm_showahead = "OFF",
//           rfifo.lpm_type = "scfifo",
//           rfifo.lpm_width = 8,
//           rfifo.lpm_widthu = 6,
//           rfifo.overflow_checking = "OFF",
//           rfifo.underflow_checking = "OFF",
//           rfifo.use_eab = "ON";
//
//synthesis read_comments_as_HDL off


endmodule


module jtag_uart_0 (
                     // inputs:
                      av_address,
                      av_chipselect,
                      av_read_n,
                      av_write_n,
                      av_writedata,
                      clk,
                      rst_n,

                     // outputs:
                      av_irq,
                      av_readdata,
                      av_waitrequest,
                      dataavailable,
                      readyfordata
                   );

  output           av_irq;
  output  [ 31: 0] av_readdata;
  output           av_waitrequest;
  output           dataavailable;
  output           readyfordata;
  input            av_address;
  input            av_chipselect;
  input            av_read_n;
  input            av_write_n;
  input   [ 31: 0] av_writedata;
  input            clk;
  input            rst_n;

  reg              ac;
  wire             activity;
  wire             av_irq;
  wire    [ 31: 0] av_readdata;
  reg              av_waitrequest;
  reg              dataavailable;
  reg              fifo_AE;
  reg              fifo_AF;
  wire             fifo_EF;
  wire             fifo_FF;
  wire             fifo_rd;
  wire    [  7: 0] fifo_rdata;
  wire    [  7: 0] fifo_wdata;
  reg              fifo_wr;
  reg              ien_AE;
  reg              ien_AF;
  wire             ipen_AE;
  wire             ipen_AF;
  reg              pause_irq;
  wire    [  7: 0] r_dat;
  reg              r_ena;
  reg              r_val;
  wire             rd_wfifo;
  reg              read_0;
  reg              readyfordata;
  wire             rfifo_full;
  wire    [  5: 0] rfifo_used;
  reg              rvalid;
  reg     [  7: 0] t_dat;
  reg              t_dav;
  reg              t_ena;
  reg              t_pause;
  wire             wfifo_empty;
  wire    [  5: 0] wfifo_used;
  reg              woverflow;
  wire             wr_rfifo;
  //avalon_jtag_slave, which is an e_avalon_slave
  assign rd_wfifo = r_ena & ~wfifo_empty;
  assign wr_rfifo = t_ena & ~rfifo_full;
  jtag_uart_0_scfifo_w the_jtag_uart_0_scfifo_w
    (
      .clk         (clk),
      .fifo_FF     (fifo_FF),
      .fifo_wdata  (fifo_wdata),
      .fifo_wr     (fifo_wr),
      .r_dat       (r_dat),
      .rd_wfifo    (rd_wfifo),
      .wfifo_empty (wfifo_empty),
      .wfifo_used  (wfifo_used)
    );

  jtag_uart_0_scfifo_r the_jtag_uart_0_scfifo_r
    (
      .clk        (clk),
      .fifo_EF    (fifo_EF),
      .fifo_rd    (fifo_rd),
      .fifo_rdata (fifo_rdata),
      .rfifo_full (rfifo_full),
      .rfifo_used (rfifo_used),
      .rst_n      (rst_n),
      .t_dat      (t_dat),
      .wr_rfifo   (wr_rfifo)
    );

  assign ipen_AE = ien_AE & fifo_AE;
  assign ipen_AF = ien_AF & (pause_irq | fifo_AF);
  assign av_irq = ipen_AE | ipen_AF;
  assign activity = t_pause | t_ena;
  always @(posedge clk or negedge rst_n)
    begin
      if (rst_n == 0)
          pause_irq <= 1'b0;
      else // only if fifo is not empty...
      if (t_pause & ~fifo_EF)
          pause_irq <= 1'b1;
      else if (read_0)
          pause_irq <= 1'b0;
    end


  always @(posedge clk or negedge rst_n)
    begin
      if (rst_n == 0)
        begin
          r_val <= 1'b0;
          t_dav <= 1'b1;
        end
      else 
        begin
          r_val <= r_ena & ~wfifo_empty;
          t_dav <= ~rfifo_full;
        end
    end


  always @(posedge clk or negedge rst_n)
    begin
      if (rst_n == 0)
        begin
          fifo_AE <= 1'b0;
          fifo_AF <= 1'b0;
          fifo_wr <= 1'b0;
          rvalid <= 1'b0;
          read_0 <= 1'b0;
          ien_AE <= 1'b0;
          ien_AF <= 1'b0;
          ac <= 1'b0;
          woverflow <= 1'b0;
          av_waitrequest <= 1'b1;
        end
      else 
        begin
          fifo_AE <= {fifo_FF,wfifo_used} <= 8;
          fifo_AF <= (7'h40 - {rfifo_full,rfifo_used}) <= 8;
          fifo_wr <= 1'b0;
          read_0 <= 1'b0;
          av_waitrequest <= ~(av_chipselect & (~av_write_n | ~av_read_n) & av_waitrequest);
          if (activity)
              ac <= 1'b1;
          // write
          if (av_chipselect & ~av_write_n & av_waitrequest)
              // addr 1 is control; addr 0 is data
              if (av_address)
                begin
                  ien_AF <= av_writedata[0];
                  ien_AE <= av_writedata[1];
                  if (av_writedata[10] & ~activity)
                      ac <= 1'b0;
                end
              else 
                begin
                  fifo_wr <= ~fifo_FF;
                  woverflow <= fifo_FF;
                end
          // read
          if (av_chipselect & ~av_read_n & av_waitrequest)
            begin
              // addr 1 is interrupt; addr 0 is data
              if (~av_address)
                  rvalid <= ~fifo_EF;
              read_0 <= ~av_address;
            end
        end
    end


  assign fifo_wdata = av_writedata[7 : 0];
  assign fifo_rd = (av_chipselect & ~av_read_n & av_waitrequest & ~av_address) ? ~fifo_EF : 1'b0;
  assign av_readdata = read_0 ? { {9{1'b0}},rfifo_full,rfifo_used,rvalid,woverflow,~fifo_FF,~fifo_EF,1'b0,ac,ipen_AE,ipen_AF,fifo_rdata } : { {9{1'b0}},(7'h40 - {fifo_FF,wfifo_used}),rvalid,woverflow,~fifo_FF,~fifo_EF,1'b0,ac,ipen_AE,ipen_AF,{6{1'b0}},ien_AE,ien_AF };
  always @(posedge clk or negedge rst_n)
    begin
      if (rst_n == 0)
          readyfordata <= 0;
      else if (1)
          readyfordata <= ~fifo_FF;
    end



//synthesis translate_off
//////////////// SIMULATION-ONLY CONTENTS
  // Tie off Atlantic Interface signals not used for simulation
  always @(posedge clk)
    begin
      t_pause <= 1'b0;
      t_ena <= 1'b0;
      t_dat <= t_dav ? r_dat : {8{r_val}};
      r_ena <= 1'b0;
    end


  always @(fifo_EF)
    begin
      if (1)
          dataavailable <= ~fifo_EF;
    end



//////////////// END SIMULATION-ONLY CONTENTS

//synthesis translate_on
//synthesis read_comments_as_HDL on
//  alt_jtag_atlantic jtag_uart_0_alt_jtag_atlantic
//    (
//      .clk (clk),
//      .r_dat (r_dat),
//      .r_ena (r_ena),
//      .r_val (r_val),
//      .rst_n (rst_n),
//      .t_dat (t_dat),
//      .t_dav (t_dav),
//      .t_ena (t_ena),
//      .t_pause (t_pause)
//    );
//
//  defparam jtag_uart_0_alt_jtag_atlantic.INSTANCE_ID = 0,
//           jtag_uart_0_alt_jtag_atlantic.LOG2_RXFIFO_DEPTH = 6,
//           jtag_uart_0_alt_jtag_atlantic.LOG2_TXFIFO_DEPTH = 6;
//
//  always @(posedge clk or negedge rst_n)
//    begin
//      if (rst_n == 0)
//          dataavailable <= 0;
//      else if (1)
//          dataavailable <= ~fifo_EF;
//    end
//
//
//synthesis read_comments_as_HDL off


endmodule

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
一区二区三区影院| 国产综合久久久久影院| 狠狠色狠狠色综合系列| 99久久精品国产一区二区三区 | 亚洲国产欧美另类丝袜| 激情偷乱视频一区二区三区| 欧美中文字幕一二三区视频| 久久婷婷国产综合精品青草| 亚洲国产精品一区二区www在线| 福利一区二区在线观看| 精品免费日韩av| 亚洲自拍与偷拍| 国产成人99久久亚洲综合精品| 欧美精品高清视频| 亚洲欧美日韩国产综合| 成人v精品蜜桃久久一区| 欧美大片在线观看一区二区| 亚洲一区二区三区在线播放| 99免费精品在线观看| 精品国产成人系列| 青青草97国产精品免费观看无弹窗版| 91成人免费网站| 综合欧美一区二区三区| 国产91色综合久久免费分享| 精品国产免费视频| 男女男精品视频| 欧美一级二级在线观看| 日本成人在线不卡视频| 在线不卡中文字幕| 日韩av在线免费观看不卡| 欧美日韩精品欧美日韩精品| 亚洲一区中文日韩| 欧美色中文字幕| 亚洲成人av免费| 欧美一区二区三区思思人| 亚洲777理论| 91精品国产综合久久久久久久| 午夜激情综合网| 欧美久久一二区| 亚洲二区在线观看| 欧美美女黄视频| 偷拍一区二区三区四区| 日韩一区二区三区在线| 日韩高清中文字幕一区| 日韩一区二区影院| 国产电影精品久久禁18| 国产女人aaa级久久久级| 粉嫩久久99精品久久久久久夜| 国产精品美女久久久久久久久久久| 国产麻豆视频精品| 国产欧美一区二区在线| 91网上在线视频| 午夜视频在线观看一区| 日韩精品一区二区三区四区| 国产伦精品一区二区三区视频青涩| 国产午夜精品一区二区| www.欧美日韩| 亚洲午夜一二三区视频| 日韩欧美视频在线| 国产成人精品网址| 亚洲一区二区三区视频在线播放| 51久久夜色精品国产麻豆| 久久精品99国产精品日本| 国产欧美日韩三区| 欧美性一区二区| 精品一区二区三区久久| 亚洲欧洲一区二区在线播放| 欧美日韩午夜影院| 国产一区二区成人久久免费影院| 亚洲天堂网中文字| 91精品国产乱| 91在线国产观看| 免费成人美女在线观看.| 综合精品久久久| 欧美成人女星排名| 色哟哟在线观看一区二区三区| 日韩电影免费在线看| 国产精品成人免费在线| 欧美xxxxxxxxx| 色视频欧美一区二区三区| 日韩av网站在线观看| 亚洲男同1069视频| 久久影院午夜论| 欧美日韩国产不卡| 波多野结衣亚洲| 极品美女销魂一区二区三区| 亚洲精品免费播放| 久久精品水蜜桃av综合天堂| 欧美三区在线观看| 91免费观看国产| 韩国午夜理伦三级不卡影院| 亚洲va欧美va人人爽| 亚洲视频一区在线| 亚洲国产精品成人久久综合一区| 欧美电影在线免费观看| 色婷婷av一区二区三区大白胸| 国产麻豆精品视频| 日韩电影在线免费观看| 亚洲一区二区欧美激情| 国产精品美女久久久久久| www国产成人| 精品少妇一区二区三区在线播放| 欧美日韩国产美女| 色噜噜久久综合| 91在线无精精品入口| av中文字幕亚洲| 成年人国产精品| 国产宾馆实践打屁股91| 国产精品一区二区三区乱码| 久久福利资源站| 久久69国产一区二区蜜臀| 偷拍与自拍一区| 日韩电影一区二区三区四区| 日韩在线播放一区二区| 午夜欧美电影在线观看| 亚洲福利视频三区| 亚洲成av人影院| 午夜不卡av在线| 日韩精品国产欧美| 青青国产91久久久久久| 日韩av中文在线观看| 免费久久99精品国产| 免费精品99久久国产综合精品| 奇米精品一区二区三区四区| 免费在线观看精品| 极品销魂美女一区二区三区| 久久超碰97人人做人人爱| 久久av中文字幕片| 国产麻豆日韩欧美久久| 东方欧美亚洲色图在线| aa级大片欧美| 欧美日韩激情在线| 欧美一卡二卡三卡四卡| 久久午夜电影网| 亚洲欧洲99久久| 一区二区三区国产精华| 日韩成人一区二区三区在线观看| 蜜臀av一区二区在线免费观看| 精油按摩中文字幕久久| 粉嫩av亚洲一区二区图片| 91蜜桃网址入口| 欧美日韩国产不卡| 国产亚洲欧美色| 亚洲精品亚洲人成人网| 欧美aaaaa成人免费观看视频| 国产传媒一区在线| 色综合久久中文字幕| 91精品久久久久久久久99蜜臂| 日韩免费电影一区| 亚洲欧洲国产日韩| 日本va欧美va精品发布| 国产激情精品久久久第一区二区 | 麻豆国产精品777777在线| 成人免费黄色在线| 在线观看www91| 久久理论电影网| 亚洲午夜精品17c| 极品尤物av久久免费看| 91黄色激情网站| 国产亚洲制服色| 日韩精品国产精品| 99re热这里只有精品免费视频| 欧美高清视频在线高清观看mv色露露十八 | 亚洲欧美怡红院| 日本在线不卡一区| 波多野结衣的一区二区三区| 91精品国产入口| 亚洲猫色日本管| 国产高清久久久| 日韩欧美123| 一级特黄大欧美久久久| 成人夜色视频网站在线观看| 日韩精品一区二区三区四区视频| 亚洲亚洲人成综合网络| 成人国产视频在线观看| 日韩精品最新网址| 亚洲福中文字幕伊人影院| 99r国产精品| 国产日韩欧美一区二区三区乱码| 日韩中文字幕1| 88在线观看91蜜桃国自产| 亚洲欧美自拍偷拍| 国产成人免费av在线| 欧美mv日韩mv亚洲| 日本在线不卡视频一二三区| 欧美三级在线视频| 一区二区三区四区蜜桃| 91视频xxxx| 国产精品久久久久久久久免费丝袜| 九色porny丨国产精品| 欧美久久久一区| 午夜欧美2019年伦理| 欧美日韩在线直播| 午夜亚洲福利老司机| 欧美日韩日日夜夜| 日日摸夜夜添夜夜添亚洲女人| 在线视频你懂得一区| 一区二区不卡在线播放| 欧美视频在线观看一区二区| 亚洲精品水蜜桃|