亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? lcd計數顯示程序.txt

?? lcd計數顯示程序
?? TXT
?? 第 1 頁 / 共 2 頁
字號:
                                            state <= wait_1;
                                        else
                                            state <= idle;
                                        end if; 
            
                                    when wait_1=>
                                        if (delay_count(15) = '1') then
                                            state <= state_1;
                                        else
                                            state <= wait_1;
                                        end if;                 

                                    when state_1=>
                                            state <= state_2;
                        
                                    when state_2=>
                                        if ((w_comp_n = '0') and (lcd_addr = "000110")) then
                                            state <= wait_2;                                
                                        elsif (w_comp_n = '0') then
                                            state <= wait_1;
                                        else
                                            state <= state_2;
                                        end if;

    

--  The following sections performs the writing of the above message to the LCD 
--  panel. Similar to the initialization section, a fix delay is inserted between
--  2 LCD writes. The 22-bit counter used in the initialization section is also
--  used here to generate the fix delay.

                                    when wait_2=>
                                        if (delay_count(15) = '1') then
                                            state <= state_3;
                                        else
                                            state <= wait_2;
                                        end if;                 


                                    
                                    when state_3=>
                                        if ((w_comp_n = '0') and (lcd_addr = "100111")) then
                                            state <= done;  
                                        elsif (w_comp_n = '0') then 
                                            state <= wait_2;
                                        else
                                            state <= state_3;
                                        end if; 
                                                        
                                    when done=>
                                            state <= done;
    
                                end case;
                            end if;
                     end process sequencer_state_register;


--  The following section generates a write enable (lcd_we_n) signal based on the
--  state of the above state machine that is used to generate the lcd_en signal.

        sequencer_state_logic: process (state)

                     begin
                        case state is

                            when idle=>
                                lcd_we_n        <= '1';

                            when wait_1=>
                                lcd_we_n        <= '1';

                            when wait_2=>
                                lcd_we_n        <= '1';     

                            when state_1=>
                                lcd_we_n        <= '0';

                            when state_2=>
                                lcd_we_n        <= '0';

                            when state_3=>
                                lcd_we_n        <= '0';                 
                            
                            when done=>
                                lcd_we_n        <= '1';
                        
                        end case;

                     end process sequencer_state_logic;




--  The following sections uses the lcd_we_n signal to generate the lcd_en signal and
--  a write complete signal (w_comp_n). The w_comp_n signal is used to reset various
--  counters that are used to generate LCD control signals.


        state_lcd_write_register: process (clk, resetn)

                        begin
                            if (resetn = '0') then
                                state_lcd_write <= lcd_write_idle;
                            elsif (clk'event and clk = '1') then
                                case state_lcd_write is

                                    when lcd_write_idle=>
                                        if (lcd_we_n = '0') then
                                            state_lcd_write <= lcd_write_1;
                                        else
                                            state_lcd_write <= lcd_write_idle;
                                        end if; 
                            
                                    when lcd_write_1=>
                                        if (seq_count = "000101") then
                                            state_lcd_write <= lcd_write_2;
                                        else
                                            state_lcd_write <= lcd_write_1;
                                        end if; 
                        
                                    when lcd_write_2=>
                                        if (seq_count = "011110") then
                                            state_lcd_write <= lcd_write_3;                             
                                        else
                                            state_lcd_write <= lcd_write_2;
                                        end if; 
                                    
                                    when lcd_write_3=>
                                        if (seq_count = "110010") then
                                            state_lcd_write <= lcd_write_4;                             
                                        else
                                            state_lcd_write <= lcd_write_3;
                                        end if; 
                                                        
                                    when lcd_write_4=>
                                            state_lcd_write <= lcd_write_idle;
    
                                end case;
                            end if;
                     end process state_lcd_write_register;




        state_lcd_write_logic: process (state_lcd_write)

                     begin
                        case state_lcd_write is

                            when lcd_write_idle=>
                                lcd_en_int  <= '0';
                                w_comp_n        <= '1';
                            
                            when lcd_write_1=>
                                lcd_en_int  <= '0';
                                w_comp_n        <= '1';
                            
                        
                            when lcd_write_2=>
                                lcd_en_int  <= '1';
                                w_comp_n        <= '1';
                            
                            when lcd_write_3=>
                                lcd_en_int  <= '0';
                                w_comp_n        <= '1';
                            
                            when lcd_write_4=>
                                lcd_en_int  <= '0';
                                w_comp_n        <= '0';
                        
                        end case;

                     end process state_lcd_write_logic;




--  The following process provides the LCD initialization data and also the ASCII
--  characters needed to write the "Memec Design MB1000 Board" to the LCD panel. Once,
--  the "Memec Design" is written to the first line of the LCD, hex 0xc0 is written to
--  the LCD control register to start at line 2 and write the "MB1000 Board" to the
--  LCD panel. The lcd_rs_data consist of the lcd_rs signal (MSB) and 8 bits of data
--  (lcd_data).

process (lcd_addr)
    begin
        case lcd_addr is
            when "000000"   => lcd_rs_data  <= "000111000"; --  lcd initializations
            when "000001"   => lcd_rs_data  <= "000111000";
            when "000010"   => lcd_rs_data  <= "000000110"; 
            when "000011"   => lcd_rs_data  <= "000001110";
            when "000100"   => lcd_rs_data  <= "000000001";
            when "000101"   => lcd_rs_data  <= "010000000";
            when "000110"   => lcd_rs_data  <= "000001100";
            when "000111"   => lcd_rs_data  <= "100100000"; 
            when "001000"   => lcd_rs_data  <= "100100000";
           
			   when "001001"   => lcd_rs_data  <=   dout_s10;		  --  lcd first line, "Memec Design"
            when "001010"   => lcd_rs_data  <=   dout_s1;
            when "001011"   => lcd_rs_data  <= "100100000";
            when "001100"   => lcd_rs_data  <= "100100000";
            when "001101"   => lcd_rs_data  <= "100100000";
            when "001110"   => lcd_rs_data  <= "100100000";
            when "001111"   => lcd_rs_data  <= "100100000";
            when "010000"   => lcd_rs_data  <= "100100000";
            when "010001"   => lcd_rs_data  <= "100100000";
            when "010010"   => lcd_rs_data  <= "100100000";
            when "010011"   => lcd_rs_data  <= "100100000";


           

            when others     => lcd_rs_data  <= "100100000";
        end case;
end process;




--  The following is a 22-bit free running counter that is cleared when the reset
--  signal is asserted or the MSB of the counter goes to 1. This counter is used
--  to generate delays between back-to-back writes to the LCD panel during the 
--  initialization and also normal write cycles.

  process (clk)
  begin
    if (clk'event and clk = '1') then
        if (resetn = '0')  then
            delay_count <= (others => '0');
			
        else if (delay_count(15) = '1') then 
		
			delay_count <= (others =>'0')	;
			else
            delay_count <= delay_count + 1;
        end if;
    end if;
	 end if;
  end process;










--  The following counter is used by the sequencer to generate the lcd_en signal.
--  The counter is reset at the end of each write to the LCD when the w_comp_n
--  signal goes active.

  process (clk)
  begin
    if (clk'event and clk = '1') then
        if ((resetn = '0') or (w_comp_n = '0')) then
            seq_count <= (others => '0');
        elsif (lcd_we_n = '0') then
            seq_count <= seq_count + 1;
        end if;
    end if;
  end process;



--  The following block generates the address pointer to the LCD initialization and
--  data values. The counter is incremented at the end of each write to the LCD panel
--  when the w_comp_n signal goes active.

  process (clk)
  begin
    if (clk'event and clk = '1') then
        if (resetn = '0') then
            lcd_addr <= (others => '0');
  

    elsif (w_comp_n = '0') then
	 if (lcd_addr = "011111") then
		 lcd_addr <= "000000";
	 else
		  lcd_addr <= lcd_addr + 1;
        
    
	   end if;
	 end if;
	end if;
  end process;


--  The following sections define the LCD data and control signals. For this reference
--  design, the lcd_rw signal is set to "0" forcing all LCD accesses to be write cycles.

    lcd_data    <= lcd_rs_data(7 downto 0);
    lcd_en          <= lcd_en_int;
    lcd_rs          <= lcd_rs_data(8);
    lcd_rw          <= '0';

end lcd_interface_arch;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
97精品国产露脸对白| 视频一区在线播放| 不卡一区二区三区四区| 国产精品伦一区二区三级视频| fc2成人免费人成在线观看播放 | 99久久国产综合色|国产精品| 中文字幕制服丝袜成人av| 91首页免费视频| 亚洲一区二区三区四区在线观看 | 中文字幕欧美日韩一区| 国产精品1区2区3区| 国产精品私人影院| 91免费版pro下载短视频| 亚洲国产一区二区三区| 日韩精品中文字幕一区| 成人国产精品免费网站| 亚洲自拍偷拍九九九| 日韩欧美电影一二三| 丁香网亚洲国际| 国产日韩欧美一区二区三区综合| 懂色一区二区三区免费观看 | 欧美r级在线观看| 成人免费电影视频| 午夜伦理一区二区| 国产欧美一区二区精品久导航| 91香蕉国产在线观看软件| 日韩电影免费在线观看网站| 国产亚洲视频系列| 欧美日韩一区在线| 国产毛片精品视频| 亚洲国产成人va在线观看天堂 | 国产欧美一区二区三区鸳鸯浴 | 日韩综合一区二区| 国产日产欧美精品一区二区三区| 91丨九色丨蝌蚪丨老版| 老司机免费视频一区二区| 国产精品久久久久久久久免费樱桃| 欧美三级韩国三级日本三斤| 国产老肥熟一区二区三区| 极品少妇xxxx偷拍精品少妇| 中文乱码免费一区二区| 日韩丝袜美女视频| 色94色欧美sute亚洲13| 国产高清久久久| 丝袜美腿亚洲色图| 亚洲男人的天堂网| 国产午夜精品理论片a级大结局| 欧美喷潮久久久xxxxx| 成人黄页在线观看| 国产真实乱子伦精品视频| 亚洲一区二区中文在线| 国产精品美女www爽爽爽| 日韩一卡二卡三卡| 欧美在线999| 成人毛片在线观看| 国产一区二区91| 麻豆精品视频在线观看免费| 一区二区激情视频| 亚洲欧美激情在线| 成人欧美一区二区三区白人 | 精品国产欧美一区二区| 在线不卡中文字幕播放| 欧美午夜一区二区三区| 91久久奴性调教| 91一区二区三区在线观看| 成人听书哪个软件好| 国产麻豆精品theporn| 久久99精品国产麻豆婷婷洗澡| 天天色图综合网| 午夜久久电影网| 亚洲6080在线| 视频一区二区欧美| 午夜精品久久久久久久| 亚洲va欧美va人人爽| 亚洲高清免费视频| 午夜精品福利一区二区三区av| 亚洲一区二区三区四区在线免费观看 | 亚洲成人av免费| 亚洲h精品动漫在线观看| 亚洲地区一二三色| 亚洲成人先锋电影| 午夜精品视频一区| 男男gaygay亚洲| 久久精品国产一区二区三区免费看| 蜜桃视频一区二区三区在线观看 | 亚洲午夜久久久久久久久电影网| 亚洲精品日韩一| 亚洲国产美国国产综合一区二区| 亚洲综合成人在线视频| 视频一区视频二区在线观看| 天堂av在线一区| 久久精品99国产精品| 国产一区二区福利视频| 99久久伊人久久99| 欧美三级乱人伦电影| 日韩欧美亚洲国产另类| 欧美一区二区视频在线观看| 成人福利视频网站| 99精品国产视频| 精品视频在线视频| 日韩欧美国产麻豆| 国产精品天干天干在线综合| 亚洲视频一二区| 午夜欧美一区二区三区在线播放| 捆绑调教美女网站视频一区| 国产高清在线精品| 91成人看片片| 欧美一级夜夜爽| 中文字幕亚洲视频| 日韩国产在线观看| 成人免费看黄yyy456| 欧美日韩黄视频| 久久精品水蜜桃av综合天堂| 亚洲男人的天堂av| 国产在线不卡一区| 91激情五月电影| 久久一日本道色综合| 亚洲蜜桃精久久久久久久| 蜜臀av国产精品久久久久| 成人午夜电影网站| 欧美精品电影在线播放| 亚洲国产高清在线| 日本亚洲三级在线| 一本高清dvd不卡在线观看| 日韩精品一区二区在线观看| 亚洲欧美视频在线观看视频| 紧缚捆绑精品一区二区| 色婷婷av一区| 国产人久久人人人人爽| 亚洲图片欧美视频| 成人午夜视频网站| 91精品国产品国语在线不卡| 日韩毛片在线免费观看| 激情久久五月天| 欧美日韩国产小视频在线观看| 夜夜嗨av一区二区三区| 国产一区二区91| 欧美一区二区视频在线观看| 亚洲欧美激情一区二区| 国产suv精品一区二区883| 欧美一区二区精品| 亚洲在线观看免费| 97成人超碰视| 国产亚洲污的网站| 久久66热偷产精品| 欧美日韩成人综合天天影院| 亚洲欧美日韩久久| av高清久久久| 国产丝袜美腿一区二区三区| 日本91福利区| 538在线一区二区精品国产| 亚洲自拍偷拍欧美| 91官网在线观看| 亚洲精品免费视频| 91小视频在线| 亚洲视频一二三区| 色综合视频在线观看| 中文字幕一区二区三区在线播放 | 亚洲国产精品人人做人人爽| 91美女片黄在线| 亚洲欧美日韩小说| 99精品视频一区| 中文字幕一区二区三| 不卡的看片网站| 1区2区3区欧美| 9l国产精品久久久久麻豆| 亚洲国产成人一区二区三区| 成人晚上爱看视频| 中文字幕一区在线观看视频| 91一区二区在线观看| 亚洲色图19p| 色菇凉天天综合网| 亚洲精品一二三四区| 91首页免费视频| 亚洲综合一区在线| 欧美午夜电影网| 日韩电影一区二区三区四区| 日韩亚洲电影在线| 国产一区在线观看麻豆| 中文字幕巨乱亚洲| 91麻豆国产福利在线观看| 亚洲精品免费电影| 欧美日本在线看| 精品午夜一区二区三区在线观看| 欧美成人精精品一区二区频| 国产一区999| 亚洲天堂2014| 欧美日韩国产精品成人| 精品一区二区三区久久| 国产欧美日韩卡一| 在线亚洲一区二区| 国产精品12区| 亚洲免费观看视频| 欧美一级爆毛片| 国产精品2024| 亚洲一区二区三区爽爽爽爽爽| 日韩欧美黄色影院| 不卡的av电影| 爽爽淫人综合网网站| 国产午夜一区二区三区|