亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? testbench_controller.v

?? arm 7 verilog code used setup soc
?? V
字號:
// File to test the arm controller // Created Amit Pandey 04/04/2000// Controller tested on this by  // Jon Moeller, Daryl K., Matt Crum// 04/05/2000// Updated the instantiation and added test for SWP instruction// Amit Pandey & Vince Leung 04/09/2000// Test for multicycle instructions - Amit 4/12/00//////////////////////////////////////////////////////////////////////////`include "armcontroller.v"`timescale 1ns/100ps// CLOCK MODULE ///////////////////////////////////////////////////////////////module c1(clk);   parameter TIME_LIMIT = 20000;   output    clk;   reg       clk;   initial      clk = 0;   always      #50 clk = ~clk;   always @(posedge clk)      if ($time > TIME_LIMIT) #70 $stop;endmodule // c1// TOP MODULE ///////////////////////////////////////////////////////////////module top;      wire 	       B_Addr_Sel;   wire [2:0] 	 RF_Addr_Write_Sel, RF_Bus_Write_Sel;   wire [1:0] 	 A_Addr_Sel;   wire [3:0] 	 RF_PC_Write_Sel;   wire 	 RF_Load_Write,RF_Load_Flags,RF_PSR_R_Sel,RF_PSR_W_Sel;   reg [31:0] 	 RF_PSR_Read;   // Zero/Sign Extender   wire [1:0] 	 SZE_Sel;   wire 		 SZE_Ctrl;      // Barrel Shifter   wire [1:0] 	 SAM_Ctrl;   wire 	 BS_Input_Sel;	    wire 	 BS_Enable;         // Address Register   wire [1:0] 	 AR_Bus_ALU_Sel;   wire [1:0] 	 AR_Bus_Sel;      // Write Data Register   wire 	 WD_DBE;   wire 	 WD_Load;         //Coprocessor   wire 	 nOPC,nCPI;   reg 		 CPA,CPB;      //Memory Interface      wire 	 nMREQ;   wire 	 nRW;   wire [1:0] 	 MAS;   reg 		 nWAIT;      //ALU   wire [1:0] 	 Alu_A_Sel;   wire [4:0] 	 Alu_Cntrl;      //Multiplier   wire 	 Multiplier_Enable;   reg 		 Multiplier_Ready;      //general   reg [31:0] 	 ir2_bus, ir2_mult_bus;   wire 	 ir1_zero, ir2_zero, ld_ir2_mult, nSTALL, BBUS_Src;      reg 		 cont,nRESET;     	    reg 		     ABORT;   reg 		     nFIQ;   reg 		     nIRQ;   wire ALU_Hold_Enable;   wire ALU_Hold_Sel; // 1 := select A_Bus 0 := sel Alu_Result     wire [4:0] 	     SC_Type;   wire [3:0] 	     SC_Source;      // internal state    wire [`NUM_STATE_BITS-1:0] present_state;   wire 		      halt,sysclk;             c1 #100000 clock(sysclk);         armcontroller arm_test(A_Addr_Sel,B_Addr_Sel,RF_Addr_Write_Sel,RF_Bus_Write_Sel,RF_PC_Write_Sel,		     RF_Load_Write,RF_Load_Flags,RF_PSR_R_Sel,RF_PSR_W_Sel,RF_PSR_Read,		     SC_Type,SC_Source,SZE_Sel,SZE_Ctrl,SAM_Ctrl,BS_Input_Sel,BS_Enable,BS_Cin,		     AR_Bus_ALU_Sel,AR_Bus_Sel,WD_DBE,WD_Load,nOPC,nCPI,CPA,CPB,nMREQ,nRW,MAS,nWAIT,                     Alu_A_Sel,Alu_Cntrl,Multiplier_Enable,Multiplier_Ready,ir2_bus,ir2_mult_bus,		     ir1_zero,ir2_zero,ld_ir2_mult,nSTALL,BBUS_Src,sysclk,nRESET,ABORT,		     nFIQ,nIRQ,ALU_Hold_Enable,ALU_Hold_Sel);   initial      begin	 $stop;	 	 cont = 0;	 #10;	 cont =1;	 	  nWAIT = 1;	 ir2_bus = 32'he6910002; 		 ir2_mult_bus = 32'he6910002;	 	 	 // TEST FOR SWP INSTRUCTION	 	 //ir2_bus = 32'he1054096;	 //ir2_mult_bus = 32'he1054096;	 	 	 	 // 32'he2801102; //ADD R1<- R0 + Immediate(2)	 // 32'he0801102; //ADD R1 <- R0 + R2(shift field = 0x10 (logical left by 2)	 	 	 #1000 $stop;	             // checking for multicycle instruction (LDR/STR etc)	 	 #249 	nWAIT = 0;	 #500 nWAIT = 	1;	 $stop;         $finish;       end	      // DISPLAY SIGNALS AS THEY CHANGE    always @(posedge sysclk)      begin	 $display("------------------------------");	 	 $display("Time=%d",$time);      end // always @ (posedge sysclk)    always @(A_Addr_Sel)$display("A_Addr_Sel=%h -------%d",A_Addr_Sel,$time);   always @(B_Addr_Sel)$display("B_Addr_Sel=%h -------%d",B_Addr_Sel,$time);   always @(RF_Addr_Write_Sel)$display("RF_Addr_Write_Sel=%h -------%d",RF_Addr_Write_Sel,$time);   always @(RF_Bus_Write_Sel)$display("RF_Bus_Write_Sel=%h -------%d",RF_Bus_Write_Sel,$time);   always @(RF_PC_Write_Sel)$display("RF_PC_Write_Sel=%h -------%d",RF_PC_Write_Sel,$time);   always @(RF_Load_Write)$display("RF_Load_Write=%h -------%d",RF_Load_Write,$time);   always @(RF_Load_Flags)$display("RF_Load_Flags=%h -------%d",RF_Load_Flags,$time);   always @(RF_PSR_R_Sel)$display("RF_PSR_R_Sel=%h -------%d",RF_PSR_R_Sel,$time);   always @(RF_PSR_W_Sel)$display("RF_PSR_W_Sel=%h -------%d",RF_PSR_W_Sel,$time);   always @(RF_PSR_Read)$display("RF_PSR_Read=%h -------%d",RF_PSR_Read,$time);   always @(SC_Type)$display("SC_Type=%h -------%d",SC_Type,$time);   always @(SC_Source)$display("SC_Source=%h -------%d",SC_Source,$time);   always @(SZE_Sel)$display("SZE_Sel=%h -------%d",SZE_Sel,$time);   always @(SZE_Ctrl)$display("SZE_Ctrl=%h -------%d",SZE_Ctrl,$time);   always @(SAM_Ctrl)$display("SAM_Ctrl=%h -------%d",SAM_Ctrl,$time);   always @(BS_Input_Sel)$display("BS_Input_Sel=%h -------%d",BS_Input_Sel,$time);   always @(BS_Enable)$display("BS_Enable=%h -------%d",BS_Enable,$time);   always @(BS_Cin)$display("BS_Cin=%h -------%d",BS_Cin,$time);   always @(AR_Bus_ALU_Sel)$display("AR_Bus_ALU_Sel=%h -------%d",AR_Bus_ALU_Sel,$time);   always @(AR_Bus_Sel)$display("AR_Bus_Sel=%h -------%d",AR_Bus_Sel,$time);   always @(WD_DBE)$display("WD_DBE=%h -------%d",WD_DBE,$time);    always @(WD_Load)      $display("WD_Load=%h -------%d",WD_Load,$time);    always @(nOPC)      $display("nOPC=%h -------%d",nOPC,$time);   always @(nCPI)$display("nCPI=%h -------%d",nCPI,$time);   always @(CPA)$display("CPA=%h -------%d",CPA,$time);   always @(CPB)$display("CPB=%h -------%d",CPB,$time);   always @(nMREQ)$display("nMREQ=%h -------%d",nMREQ,$time);   always @(nRW)$display("nRW=%h -------%d",nRW,$time);   always @(MAS)$display("MAS=%h -------%d",MAS,$time);   always @(nWAIT)$display("nWAIT=%h -------%d",nWAIT,$time);   always @(Alu_A_Sel)$display("Alu_A_Sel=%h -------%d",Alu_A_Sel,$time);   always @(Alu_Cntrl)$display("Alu_Cntrl=%h -------%d",Alu_Cntrl,$time);   always @(Multiplier_Enable)$display("Multiplier_Enable=%h -------%d",Multiplier_Enable,$time);   always @(Multiplier_Ready)$display("Multiplier_Ready=%h -------%d",Multiplier_Ready,$time);   always @(ir2_bus)$display("ir2_bus=%h -------%d",ir2_bus,$time);   always @(ir2_mult_bus)$display("ir2_mult_bus=%h -------%d",ir2_mult_bus,$time);   always @(ir1_zero)$display("ir1_zero=%h -------%d",ir1_zero,$time);   always @(ir2_zero)$display("ir2_zero=%h -------%d",ir2_zero,$time);   always @(ld_ir2_mult)$display("ld_ir2_mult=%h -------%d",ld_ir2_mult,$time);   always @(nSTALL)$display("nSTALL=%h -------%d",nSTALL,$time);   always @(BBUS_Src)$display("BBUS_Src=%h -------%d",BBUS_Src,$time);   always @(sysclk)$display("sysclk=%h -------%d",sysclk,$time);   always @(nRESET)$display("nRESET=%h -------%d",nRESET,$time);   always @(ABORT)$display("ABORT=%h -------%d",ABORT,$time);   always @(nFIQ)$display("nFIQ=%h -------%d",nFIQ,$time);   always @(nIRQ)$display("nIRQ=%h -------%d",nIRQ,$time);    // A TASK TO PRINT ALL SIGNALS   THIS DOESNOT HAVE ALL SIGNALS !!!   task print_all_signals;      begin      $display("A_Addr_Sel=%h                B_Addr_Sel=%h",A_Addr_Sel,B_Addr_Sel);      $display("RF_Addr_Write_Sel=%h                RF_Bus_Write_Sel=%h",RF_Addr_Write_Sel,RF_Bus_Write_Sel);      $display("RF_PC_Write_Sel=%h          RF_Load_Write=%h",RF_PC_Write_Sel,RF_Load_Write);      $display("RF_Load_Flags=%h            RF_PSR_R_Sel=%h",RF_Load_Flags,RF_PSR_R_Sel);      $display("RF_PSR_W_Sel=%h             RF_PSR_Read=%h",RF_PSR_W_Sel,RF_PSR_Read);      $display("SZE_Sel=%h          SZE_Ctrl=%h",SZE_Sel,SZE_Ctrl);      $display("SAM_Ctrl=%h         BS_Input_Sel=%h",SAM_Ctrl,BS_Input_Sel);      $display("BS_Enable=%h                AR_Bus_ALU_Sel=%h",BS_Enable,AR_Bus_ALU_Sel);      $display("AR_Bus_Sel=%h               WD_DBE=%h",AR_Bus_Sel,WD_DBE);      $display("nOPC=%h             nCPI=%h",nOPC,nCPI);      $display("CPA=%h              CPB=%h",CPA,CPB);      $display("nMREQ=%h            nRW=%h",nMREQ,nRW);      $display("MAS=%h              nWAIT=%h",MAS,nWAIT);      $display("Alu_A_Sel=%h                Alu_Cntrl=%h",Alu_A_Sel,Alu_Cntrl);      $display("Multiplier_Enable=%h                Multiplier_Ready=%h",Multiplier_Enable,Multiplier_Ready);      $display("ir2_bus=%h          ir2_mult_bus=%h",ir2_bus,ir2_mult_bus);      $display("ir1_zero=%h         ir2_zero=%h",ir1_zero,ir2_zero);      $display("ld_ir2_mult=%h              nSTALL=%h",ld_ir2_mult,nSTALL);      $display("BBUS_Src=%h         cont=%h",BBUS_Src,cont);      $display("nRESET=%h           sysclk=%h",nRESET,sysclk);      $display("halt=%h            ",halt);      end   endtask  endmodule // top

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
99久久久免费精品国产一区二区| 久久aⅴ国产欧美74aaa| 国产欧美一区二区精品忘忧草| 欧美日韩国产欧美日美国产精品| 色av综合在线| 欧美视频完全免费看| 欧美三区免费完整视频在线观看| 成人精品在线视频观看| 99久久婷婷国产| 91麻豆免费在线观看| 在线观看视频一区| 欧美日韩综合在线免费观看| 欧美电影一区二区三区| 日韩美女在线视频| xnxx国产精品| 亚洲欧美自拍偷拍色图| 亚洲福利视频三区| 久久99久久99| 丁香一区二区三区| kk眼镜猥琐国模调教系列一区二区| 成人免费av网站| 欧美中文字幕一二三区视频| 91精品蜜臀在线一区尤物| 日韩精品综合一本久道在线视频| 26uuu久久天堂性欧美| 《视频一区视频二区| 国内精品在线播放| 成人av网站在线观看| 欧美视频一区二区三区在线观看 | 久久91精品久久久久久秒播| 国产在线播放一区三区四| aaa欧美色吧激情视频| 欧美日韩一级黄| 久久久久久夜精品精品免费| 亚洲免费在线观看| 蜜臀av一区二区在线观看| 国产a区久久久| 欧美群妇大交群的观看方式| 久久精品日韩一区二区三区| 一区二区三区91| 国产自产v一区二区三区c| 色综合久久综合| 国产午夜精品久久久久久免费视| 玉米视频成人免费看| 国产一区二区三区高清播放| 欧美亚日韩国产aⅴ精品中极品| www国产精品av| 亚洲超碰97人人做人人爱| 成人妖精视频yjsp地址| 欧美一区二区黄色| 亚洲一区二区影院| jlzzjlzz亚洲日本少妇| 精品国精品自拍自在线| 亚洲va天堂va国产va久| k8久久久一区二区三区 | 成人小视频在线观看| 欧美男男青年gay1069videost| 国产人成一区二区三区影院| 蜜臀av性久久久久蜜臀aⅴ流畅| 99九九99九九九视频精品| 久久久蜜臀国产一区二区| 日韩国产在线一| 在线视频你懂得一区二区三区| 日本一区二区三区国色天香 | 日本一区二区三区电影| 奇米精品一区二区三区四区 | 成人av在线资源网| 久久午夜国产精品| 美女免费视频一区二区| 欧美日韩精品欧美日韩精品| 亚洲精品视频在线| 91亚洲精华国产精华精华液| 国产精品乱人伦中文| 成人午夜免费av| 国产精品美女一区二区三区| 国产超碰在线一区| 国产日韩欧美一区二区三区综合 | 中文字幕欧美三区| 成人免费视频一区| 国产精品丝袜久久久久久app| 韩国av一区二区| 久久精品一区四区| 国产福利一区二区三区| 日韩美女啊v在线免费观看| 成人avav影音| 中文字幕一区二区在线观看| 成人晚上爱看视频| 日韩美女视频一区二区| 欧美在线视频不卡| 日本不卡视频在线| 欧美videos中文字幕| 国产一区二区女| 国产精品护士白丝一区av| 91片在线免费观看| 亚洲成人资源在线| 久久综合久色欧美综合狠狠| 粉嫩一区二区三区性色av| 最新不卡av在线| 精品视频一区三区九区| 久久成人18免费观看| 国产亚洲欧美日韩在线一区| 99精品国产99久久久久久白柏| 一区二区三区91| 欧美成人aa大片| av一区二区三区四区| 亚洲1区2区3区视频| 久久在线观看免费| 色婷婷国产精品久久包臀| 秋霞成人午夜伦在线观看| 精品1区2区在线观看| 色偷偷一区二区三区| 免费在线一区观看| 中国色在线观看另类| 欧美日韩精品三区| 成人黄页毛片网站| 另类小说欧美激情| 亚洲精品国产精品乱码不99| 欧美一级欧美一级在线播放| 成人综合在线网站| 日本中文字幕一区二区视频| 国产欧美日韩在线视频| 制服丝袜av成人在线看| 波多野结衣视频一区| 免播放器亚洲一区| 亚洲欧美日韩国产手机在线| 精品sm捆绑视频| 欧美日韩精品欧美日韩精品一| 成人一区在线看| 麻豆91在线看| 亚洲成av人影院在线观看网| 国产精品视频观看| 日韩视频123| 欧美日韩免费高清一区色橹橹| 成人国产精品免费| 国产一区二区三区观看| 人人精品人人爱| 一区二区免费看| 国产精品乱码久久久久久| 日韩欧美电影一区| 欧美乱熟臀69xxxxxx| 色综合久久久网| 成人亚洲精品久久久久软件| 国产一区二区三区在线观看免费视频| 亚洲午夜私人影院| 一区二区三区中文字幕电影| 国产女主播一区| 国产日产欧美一区| 2017欧美狠狠色| 欧美一区二区二区| 欧美一级在线观看| 91麻豆精品久久久久蜜臀| 欧美美女一区二区三区| 欧美日韩一级大片网址| 欧美三级电影在线观看| 欧美在线你懂得| 色噜噜狠狠成人中文综合| av激情成人网| 99热这里都是精品| 成人av网站免费观看| 99在线精品免费| 91一区二区在线观看| 一本一本久久a久久精品综合麻豆| 91一区二区在线| 色综合久久中文字幕综合网| 日韩精品一区二区三区老鸭窝| 精品国产自在久精品国产| 精品毛片乱码1区2区3区| 久久亚洲一级片| 日本一区二区三区久久久久久久久不| 国产亚洲1区2区3区| 亚洲欧美自拍偷拍| 图片区小说区区亚洲影院| 日本aⅴ亚洲精品中文乱码| 国产老妇另类xxxxx| av电影在线不卡| 欧美日韩国产中文| 2021国产精品久久精品| 国产精品人妖ts系列视频| 一区二区三区 在线观看视频| 午夜av区久久| 国产一区二区三区免费在线观看| 成人激情小说乱人伦| 欧美综合亚洲图片综合区| 日韩一级黄色片| 亚洲欧洲精品天堂一级 | 精品久久一区二区三区| 日本一区二区三区久久久久久久久不| 亚洲美女视频在线观看| 青青草原综合久久大伊人精品| 国产精品综合一区二区| 欧美自拍丝袜亚洲| 2020国产成人综合网| 亚洲综合小说图片| 国产一区二三区好的| 91福利资源站| 国产日韩欧美一区二区三区乱码| 亚洲综合自拍偷拍| 国产精品亚洲第一区在线暖暖韩国 | 欧美精品自拍偷拍动漫精品| 国产清纯白嫩初高生在线观看91|