亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? atahost_pio_actrl.vhd

?? PowerFull ATA Host Controller
?? VHD
字號:
-------------------------------------------------------------------------                                                             --------  OpenCores IDE Controller                                   --------  PIO Access Controller (common for OCIDEC 2 and above)      --------                                                             --------  Author: Richard Herveille                                  --------          richard@asics.ws                                   --------          www.asics.ws                                       --------                                                             -----------------------------------------------------------------------------                                                             -------- Copyright (C) 2001, 2002 Richard Herveille                  --------                          richard@asics.ws                   -------                                                             -------- This source file may be used and distributed without        -------- restriction provided that this copyright statement is not   -------- removed from the file and that any derivative work contains -------- the original copyright notice and the associated disclaimer.--------                                                             --------     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     -------- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   -------- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   -------- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      -------- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         -------- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    -------- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   -------- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        -------- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  -------- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  -------- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  -------- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         -------- POSSIBILITY OF SUCH DAMAGE.                                 --------                                                             --------------------------------------------------------------------------- rev.: 1.0 march 9th, 2001-- rev.: 1.0a april 12th, 2001 Removed references to records.vhd------  CVS Log----  $Id: atahost_pio_actrl.vhd,v 1.1 2002/02/18 14:32:12 rherveille Exp $----  $Date: 2002/02/18 14:32:12 $--  $Revision: 1.1 $--  $Author: rherveille $--  $Locker:  $--  $State: Exp $---- Change History:--               $Log: atahost_pio_actrl.vhd,v $--               Revision 1.1  2002/02/18 14:32:12  rherveille--               renamed all files to 'atahost_***.vhd'--               broke-up 'counter.vhd' into 'ud_cnt.vhd' and 'ro_cnt.vhd'--               changed resD input to generic RESD in ud_cnt.vhd--               changed ID input to generic ID in ro_cnt.vhd--               changed core to reflect changes in ro_cnt.vhd--               removed references to 'count' library--               changed IO names--               added disclaimer--               added CVS log--               moved registers and wishbone signals into 'atahost_wb_slave.vhd'--------------------------------- PIO Access controller -------------------------------library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity atahost_pio_actrl is	generic(		TWIDTH : natural := 8;                     -- counter width		-- PIO mode 0 settings (@100MHz clock)		PIO_mode0_T1 : natural := 6;               -- 70ns		PIO_mode0_T2 : natural := 28;              -- 290ns		PIO_mode0_T4 : natural := 2;               -- 30ns		PIO_mode0_Teoc : natural := 23             -- 240ns ==> T0 - T1 - T2 = 600 - 70 - 290 = 240	);	port(		clk    : in std_logic;                     -- master clock		nReset : in std_logic;                     -- asynchronous active low reset		rst    : in std_logic;                     -- synchronous active high reset		IDEctrl_FATR0,		IDEctrl_FATR1 : in std_logic;		cmdport_T1,		cmdport_T2,		cmdport_T4,		cmdport_Teoc : in unsigned(7 downto 0);		cmdport_IORDYen : in std_logic;            -- PIO command port / non-fast timing		dport0_T1,		dport0_T2,		dport0_T4,		dport0_Teoc : in unsigned(7 downto 0);		dport0_IORDYen : in std_logic;             -- PIO mode data-port / fast timing device 0		dport1_T1,		dport1_T2,		dport1_T4,		dport1_Teoc : in unsigned(7 downto 0);		dport1_IORDYen : in std_logic;             -- PIO mode data-port / fast timing device 1		SelDev : in std_logic;                     -- Selected device			go   : in  std_logic;                      -- Start transfer sequence		done : out std_logic;                      -- Transfer sequence done		dir  : in  std_logic;                      -- Transfer direction '1'=write, '0'=read		a    : in  unsigned(3 downto 0);           -- PIO transfer address		q    : out std_logic_vector(15 downto 0);  -- Data read from ATA devices		DDi : in std_logic_vector(15 downto 0);    -- Data from ATA DD bus		oe  : buffer std_logic;                    -- DDbus output-enable signal		DIOR,		DIOW  : buffer std_logic;		IORDY : in std_logic 	);end entity atahost_pio_actrl;architecture structural of atahost_pio_actrl is	--	-- Component declarations	--	component atahost_pio_tctrl is		generic(		TWIDTH : natural := 8;                   -- counter width		-- PIO mode 0 settings (@100MHz clock)		PIO_mode0_T1 : natural := 6;             -- 70ns		PIO_mode0_T2 : natural := 28;            -- 290ns		PIO_mode0_T4 : natural := 2;             -- 30ns		PIO_mode0_Teoc : natural := 23           -- 240ns ==> T0 - T1 - T2 = 600 - 70 - 290 = 240	);	port(		clk    : in std_logic;                   -- master clock		nReset : in std_logic;                   -- asynchronous active low reset		rst    : in std_logic;                   -- synchronous active high reset		-- timing/control register settings		IORDY_en : in std_logic;                 -- use IORDY (or not)		T1   : in unsigned(TWIDTH -1 downto 0);  -- T1 time (in clk-ticks)		T2   : in unsigned(TWIDTH -1 downto 0);  -- T2 time (in clk-ticks)		T4   : in unsigned(TWIDTH -1 downto 0);  -- T4 time (in clk-ticks)		Teoc : in unsigned(TWIDTH -1 downto 0);  -- end of cycle time		-- control signals		go : in std_logic;                       -- PIO controller selected (strobe signal)		we : in std_logic;                       -- write enable signal. '0'=read from device, '1'=write to device		-- return signals		oe    : buffer std_logic;                -- output enable signal		done  : out std_logic;                   -- finished cycle		dstrb : out std_logic;                   -- data strobe, latch data (during read)		-- ATA signals		DIOR,                                    -- IOread signal, active high		DIOW  : buffer std_logic;                -- IOwrite signal, active high		IORDY : in std_logic                     -- IORDY signal	);	end component atahost_pio_tctrl;	signal dstrb : std_logic;	signal T1, T2, T4, Teoc : unsigned(TWIDTH -1 downto 0);	signal IORDYen : std_logic;begin	--	--------------------------	-- PIO transfer control --	--------------------------	--	-- capture ATA data for PIO access	gen_PIOq: process(clk)	begin		if (clk'event and clk = '1') then			if (dstrb = '1') then				q <= DDi;			end if;		end if;	end process gen_PIOq;	--	-- PIO timing controllers	--	-- select timing settings for the addressed port	sel_port_t: process(clk, a, SelDev, IDEctrl_FATR1, IDEctrl_FATR0,			cmdport_T1, cmdport_T2, cmdport_T4, cmdport_Teoc, cmdport_IORDYen, 			dport0_T1, dport0_T2, dport0_T4, dport0_Teoc, dport0_IORDYen,			dport1_T1, dport1_T2, dport1_T4, dport1_Teoc, dport1_IORDYen)		variable Asel : std_logic; -- address selected		variable iT1, iT2, iT4, iTeoc : unsigned(TWIDTH -1 downto 0);		variable iIORDYen : std_logic;	begin		-- initially set timing registers to compatible timing		iT1      := cmdport_T1;		iT2      := cmdport_T2;		iT4      := cmdport_T4;		iTeoc    := cmdport_Teoc;		iIORDYen := cmdport_IORDYen;		-- detect data-port access		Asel := not a(3) and not a(2) and not a(1) and not a(0); -- data port		if (Asel = '1') then                                     -- data port selected, 16bit transfers			if ((SelDev = '1') and (IDEctrl_FATR1 = '1')) then    -- data port1 selected and enabled ?				iT1      := dport1_T1;				iT2      := dport1_T2;				iT4      := dport1_T4;				iTeoc    := dport1_Teoc;				iIORDYen := dport1_IORDYen;			elsif((SelDev = '0') and (IDEctrl_FATR0 = '1')) then       -- data port0 selected and enabled ?				iT1      := dport0_T1;				iT2      := dport0_T2;				iT4      := dport0_T4;				iTeoc    := dport0_Teoc;				iIORDYen := dport0_IORDYen;			end if;		end if;		if (clk'event and clk = '1') then			T1      <= iT1;			T2      <= iT2;			T4      <= iT4;			Teoc    <= iTeoc;			IORDYen <= iIORDYen;		end if;	end process sel_port_t;	--	-- hookup timing controller	--	PIO_timing_controller: atahost_pio_tctrl		generic map (			TWIDTH => TWIDTH,			PIO_mode0_T1 => PIO_mode0_T1,			PIO_mode0_T2 => PIO_mode0_T2,			PIO_mode0_T4 => PIO_mode0_T4,			PIO_mode0_Teoc => PIO_mode0_Teoc		)		port map (			clk => clk,			nReset => nReset,			rst => rst,			IORDY_en => IORDYen,			T1 => T1,			T2 => T2,			T4 => T4,			Teoc => Teoc, 			go => go,			we => dir,			oe => oe,			done => done,			dstrb => dstrb,			DIOR => dior,			DIOW => diow,			IORDY => IORDY		);end architecture structural;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
欧美少妇xxx| 香蕉av福利精品导航| 亚洲天堂av一区| 日韩精品免费专区| av资源站一区| 欧美va亚洲va在线观看蝴蝶网| 国产精品久久久久久户外露出| 老司机免费视频一区二区| 9l国产精品久久久久麻豆| 欧美成人三级电影在线| 一区二区在线观看视频| 国产精品自拍av| 91.com视频| 亚洲精品视频一区| av综合在线播放| 久久精品人人做人人综合| 日韩激情视频在线观看| 色久优优欧美色久优优| 亚洲素人一区二区| 国产精品18久久久久久久久 | 亚洲欧美综合另类在线卡通| 日韩电影在线观看电影| 91久久人澡人人添人人爽欧美| 久久久亚洲综合| 捆绑变态av一区二区三区| 欧美日韩国产小视频在线观看| 中文字幕亚洲综合久久菠萝蜜| 久久99国产精品麻豆| 欧美肥胖老妇做爰| 午夜不卡在线视频| 欧美三级电影网| 香蕉加勒比综合久久| 欧美怡红院视频| 亚洲伊人色欲综合网| 一本到不卡免费一区二区| 亚洲欧洲日韩在线| 99vv1com这只有精品| 亚洲美女区一区| 91成人免费网站| 一区二区三区毛片| 91国产丝袜在线播放| 亚洲制服丝袜av| 欧美久久久久久久久久| 丝袜a∨在线一区二区三区不卡| 欧美片网站yy| 美腿丝袜亚洲一区| 欧美成人免费网站| 国产黄色精品视频| 国产精品乱人伦中文| 91丝袜美腿高跟国产极品老师 | 日韩女优av电影| 激情欧美日韩一区二区| 337p粉嫩大胆色噜噜噜噜亚洲| 国产高清成人在线| 亚洲欧美日韩国产综合| 欧美亚洲日本国产| 美女脱光内衣内裤视频久久网站| 久久综合久久综合久久综合| 成人在线综合网站| 亚洲自拍偷拍av| 日韩一区和二区| 国产精品888| 一区二区三区欧美日| 91精品国产91热久久久做人人| 韩国一区二区三区| 亚洲欧美中日韩| 欧美精品日韩精品| 国产麻豆9l精品三级站| 亚洲免费在线播放| 91麻豆精品国产91久久久久久久久| 精品一区二区在线看| 亚洲欧洲日本在线| 日韩丝袜情趣美女图片| 99国产精品久久久久久久久久久 | 国产精品人成在线观看免费| 色视频成人在线观看免| 久久精品99国产精品日本| 日韩一区中文字幕| 日韩区在线观看| 91蝌蚪porny成人天涯| 蜜芽一区二区三区| 亚洲色大成网站www久久九九| 91麻豆精品国产91久久久更新时间| 韩国一区二区三区| 性欧美疯狂xxxxbbbb| 国产精品美女久久久久久久久久久 | 在线视频综合导航| 国产精品一区一区| 日韩成人一级大片| 亚洲综合偷拍欧美一区色| 欧美激情综合网| 欧美一区二区三区啪啪| 色94色欧美sute亚洲线路一ni| 国产在线不卡视频| 日韩电影在线免费| 亚洲一区二区三区四区在线| 中文一区一区三区高中清不卡| 91精品国产综合久久精品性色 | 色成人在线视频| 粉嫩蜜臀av国产精品网站| 日韩黄色小视频| 亚洲午夜久久久久久久久久久| 国产精品狼人久久影院观看方式| 精品国产乱码久久久久久闺蜜| 欧美日韩黄视频| 欧洲亚洲国产日韩| 91丨国产丨九色丨pron| 成人精品国产免费网站| 国产不卡一区视频| 国产精品一级二级三级| 激情综合色播激情啊| 青青草视频一区| 日韩和的一区二区| 亚洲二区在线视频| 调教+趴+乳夹+国产+精品| 亚洲一区二区免费视频| 一区二区三区在线观看网站| 亚洲色图色小说| 亚洲乱码中文字幕| 一区二区三区在线不卡| 一区二区三区日韩在线观看| 亚洲一区在线播放| 午夜精品在线看| 日本成人在线视频网站| 免费成人你懂的| 久久草av在线| 国产馆精品极品| 成人精品小蝌蚪| 99视频在线观看一区三区| 99精品国产91久久久久久| 色综合久久中文综合久久97| 欧美羞羞免费网站| 日韩一区二区三区观看| 精品处破学生在线二十三| 国产亚洲精品超碰| 亚洲日本电影在线| 亚洲一级二级在线| 日本va欧美va瓶| 国产在线精品国自产拍免费| av亚洲精华国产精华精| 精品视频在线免费看| 精品国产91洋老外米糕| 国产精品电影一区二区| 亚洲成人免费在线观看| 国内久久婷婷综合| 成人精品国产一区二区4080| 欧美三级韩国三级日本三斤| 欧美变态口味重另类| 国产精品免费视频网站| 亚洲伊人色欲综合网| 精品一区二区三区欧美| 暴力调教一区二区三区| 欧美日韩国产综合视频在线观看| 欧美白人最猛性xxxxx69交| 久久精品视频一区二区| 一区二区三区四区蜜桃| 毛片基地黄久久久久久天堂| 99精品欧美一区二区三区综合在线| 欧美自拍偷拍午夜视频| 精品国产区一区| 亚洲麻豆国产自偷在线| 蜜臀久久99精品久久久画质超高清 | 精品日韩一区二区三区| 亚洲伦理在线免费看| 精品午夜久久福利影院| 欧美制服丝袜第一页| 国产日韩精品一区二区浪潮av | 蜜桃视频在线观看一区二区| 成人高清在线视频| 欧美电影免费观看高清完整版 | 中文字幕日韩av资源站| 日韩精品免费专区| 色偷偷久久一区二区三区| 精品国产3级a| 婷婷夜色潮精品综合在线| 99精品视频在线播放观看| 精品国产乱子伦一区| 香蕉乱码成人久久天堂爱免费| 99久久伊人精品| 久久精品亚洲麻豆av一区二区 | 在线亚洲高清视频| 国产精品入口麻豆九色| 久久成人18免费观看| 欧美日韩国产综合一区二区三区| 亚洲欧洲性图库| 高清不卡一区二区| 精品入口麻豆88视频| 日韩电影在线一区二区| 欧美日韩精品免费| 亚洲国产人成综合网站| 91丨porny丨国产| 中文字幕在线不卡| 国产.欧美.日韩| 国产亚洲一区二区在线观看| 久久国产剧场电影| 欧美一级在线视频| 强制捆绑调教一区二区| 3d成人h动漫网站入口| 午夜影院久久久| 欧美日韩免费在线视频|