?? alaw_invert.vhd
字號:
library ieee;
use ieee.std_logic_1164.all;
entity alaw_invert is
port(
data :in std_logic_vector(7 downto 0); --pcm signal a
ebi :in std_logic; --enable even bit(a-law) inversion.1:inverted;0:not inverted
dataq :out std_logic_vector(7 downto 0)); --output overlap signal
end alaw_invert;
architecture rtl of alaw_invert is
begin
dataq <= data(7) & (not data(6)) & data(5) & (not data(4)) & data(3) & (not data(2)) & data(1) & (not data(0)) when ebi = '1' else "ZZZZZZZZ";
dataq <= data when ebi = '0' else "ZZZZZZZZ";
-- dataq <= data(7) & (not data(6)) & data(5) & (not data(4)) & data(3) & (not data(2)) & data(1) & (not data(0)) when ebi = '1' else
-- data ;
end rtl;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -