?? fenpin2.vhd
字號(hào):
-------------------------------------------------------------
--Copyright (C), 2004- , Huangwei. --
--File name:fenpin(分頻器) --
--Author:huangwei Version:1.0 Date:2004/11/24 --
--Description: --
--該程序主要完成的功能是用于同步鎖相環(huán)中的分頻功能; --
-------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity fenpin2 is
port(
clkin:in std_logic;
clkout:out std_logic
);
end fenpin2;
architecture fenpin2_arc of fenpin2 is
signal count:std_logic; --計(jì)數(shù)寄存器1
begin
process(clkin,count)
begin
if (clkin'event and clkin = '1') then --計(jì)數(shù)、分頻
count <=not count;
clkout <= count;
end if;
end process;
end fenpin2_arc;
?? 快捷鍵說(shuō)明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號(hào)
Ctrl + =
減小字號(hào)
Ctrl + -