亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關(guān)于我們
? 蟲蟲下載站

?? lcd_control.vhd

?? MP3 for XPLA3 XILINX.CPLD,必須在XILINX的FPGA芯片下使用,因?yàn)镮P核是xilinx
?? VHD
字號(hào):
-- **************************************************************
-- File:  		lcd_control.vhd
--
-- Purpose: 	This file implements the LCD Control section of the
--			user interface.  This module inputs and outputs are 
--			described below. All outputs are registered to provide
--			stability in the signal output. 
--
--			Inputs:
--			- play_stat[2:0] -  describes the current play status
--			- mute_stat - describes the current mute status
--			- vol_lvl[5:0] - volume level
--			- bat_lvl[3:0] - current battery voltage
--			- track[5:0] - track number
--			- download - indicates when a song download is active
--			- error - indicates when an error has occured
--			- song_start - indicates the start of a new song			
--
--			Outputs:
--			- play_icon
--			- pause_icon
--			- stop_icon
--			- fwd_icon
--			- rwd_icon
--			- mute_icon
--			- vol_icon[6:0]
--			- bat_icon[2:0]
--			- track_icon[13:0]
--			- err_icon
--			- downld_icon
--			- volt_ready
--		
-- Created:		10/28/99	CLH
-- Revised: 	11/9/99		CLH
-- Revised:		11-14-99 ALS
-- Revised:		11-26-99 ALS
-- Revised:		11-28-99 ALS
-- Revised:		12-2-99 ALS
-- **************************************************************

library IEEE;
use IEEE.std_logic_1164.all;
use ieee.std_logic_arith.all;

entity lcd_control is
  
  port(
	-- *********** input signals ***********************************
	upd_track	: in STD_LOGIC;
	song_start	: in STD_LOGIC;
	error		: in STD_LOGIC;
	downld	: in STD_LOGIC;
	track		: in STD_LOGIC_VECTOR(4 downto 0);
	play_stat	: in STD_LOGIC_VECTOR(2 downto 0);

	-- *********** output signals **********************************
	play_icon	: inout STD_LOGIC;
	fwd_icon	: inout STD_LOGIC;
	rwd_icon	: inout STD_LOGIC;
	error_icon	: out STD_LOGIC;
	downld_icon	: out STD_LOGIC;
	track_icon	: inout STD_LOGIC_VECTOR(6 downto 0);

	-- *********** STANDARD INPUT SIGNALS **************************
	clock 	: in STD_LOGIC;
	reset		: in STD_LOGIC
	);
end lcd_control;

architecture behave of lcd_control is

	-- *********** CONSTANT DECLARATIONS ***************************
	--  standard signals 
	constant RESET_ACTIVE	: STD_LOGIC := '1';
	constant REG_DELAY	: time := 3 ns;
	constant I_ON		: STD_LOGIC := '1';
	constant I_OFF		: STD_LOGIC := '0';	

	-- ************** CONSTANT DECLARATIONS FOR PLAY STATUS*************
	constant PLAY_CODE	: std_logic_vector(2 downto 0) := "000"; -- play_stat code for play
	constant RWD_CODE		: std_logic_vector(2 downto 0) := "001"; -- play_stat code for rewind
	constant STOP_CODE	: std_logic_vector(2 downto 0) := "010"; -- play_stat code for stop
	constant FWD_CODE		: std_logic_vector(2 downto 0) := "011"; -- play_stat code for fast forward

	-- ************** SIGNAL DECLARATIONS FOR PLAY STATUS*************
	signal play_com		: STD_LOGIC;
	signal fwd_com		: STD_LOGIC;
	signal rwd_com		: STD_LOGIC;
	signal error_com		: STD_LOGIC;
	signal downld_com		: STD_LOGIC;
	signal track_com		: STD_LOGIC_VECTOR(6 downto 0);

	-- ************** CONSTANT DECLARATIONS FOR TRACK NUMBER *************
	-- BINARY TRACK NUMBERS 0 THROUGH 9
	constant BIN0		: STD_LOGIC_VECTOR(3 downto 0) := "0000";
	constant BIN1		: STD_LOGIC_VECTOR(3 downto 0) := "0001";
	constant BIN2		: STD_LOGIC_VECTOR(3 downto 0) := "0010";
	constant BIN3		: STD_LOGIC_VECTOR(3 downto 0) := "0011";
	constant BIN4		: STD_LOGIC_VECTOR(3 downto 0) := "0100";
	constant BIN5		: STD_LOGIC_VECTOR(3 downto 0) := "0101";
	constant BIN6		: STD_LOGIC_VECTOR(3 downto 0) := "0110";
	constant BIN7		: STD_LOGIC_VECTOR(3 downto 0) := "0111";
	constant BIN8		: STD_LOGIC_VECTOR(3 downto 0) := "1000";
	constant BIN9		: STD_LOGIC_VECTOR(3 downto 0) := "1001";


	-- BCD TRACK NUMBERS 0 THROUGH 9
	constant BCD0		: STD_LOGIC_VECTOR(6 downto 0) := "0000000";
	constant BCD1		: STD_LOGIC_VECTOR(6 downto 0) := "0000110";
	constant BCD2		: STD_LOGIC_VECTOR(6 downto 0) := "1011011";
	constant BCD3		: STD_LOGIC_VECTOR(6 downto 0) := "1001111";
	constant BCD4		: STD_LOGIC_VECTOR(6 downto 0) := "1100110";
	constant BCD5		: STD_LOGIC_VECTOR(6 downto 0) := "1101101";
	constant BCD6		: STD_LOGIC_VECTOR(6 downto 0) := "1111100";
	constant BCD7		: STD_LOGIC_VECTOR(6 downto 0) := "0000111";
	constant BCD8		: STD_LOGIC_VECTOR(6 downto 0) := "1111111";
	constant BCD9		: STD_LOGIC_VECTOR(6 downto 0) := "1100111";


	
	begin

	-- ************* Process: SEQUENTIAL **************
	-- Purpose: Synchronize state machines
	-- Components: None

	SEQUENTIAL: process(reset, clock)
	begin
		if reset =  RESET_ACTIVE then
			play_icon <= '0' ;
			fwd_icon <= '0' ;
			rwd_icon <= '0' ;
			error_icon <= '0' ;
			downld_icon <= '0' ;
			track_icon <=(others => '0') ;
			
		elsif clock'event and (clock = '1') then
			play_icon <= play_com ;
			fwd_icon <= fwd_com ;
			rwd_icon <= rwd_com ;
			error_icon <= error_com ;
			downld_icon <= downld_com ;
			if song_start = '1' or error = '1' or downld = '1' or upd_track = '1' then
				track_icon <= track_com ;
			else
				track_icon <= track_icon ;
			end if;


		end if;
	end process SEQUENTIAL;


	-- ************** PROCESS: PLAY_ICONS ******************************
	PLAY_ICONS: process(play_stat, error, downld)
	begin
		play_com <= I_OFF;
		fwd_com <= I_OFF;
		rwd_com <= I_OFF;	
		error_com <= I_OFF;
		downld_com <= I_OFF;
	
		if(error = '1') then
			error_com <= I_ON;
		elsif (downld = '1') then
			downld_com <= I_ON;	
		else
	
			case play_stat is
	
				when PLAY_CODE =>
					play_com <= I_ON;

				when FWD_CODE =>
					fwd_com <= I_ON;

				when RWD_CODE =>
					rwd_com <= I_ON;
				when others =>
			end case;
			end if;
	end process PLAY_ICONS;

	-- ************** PROCESS: TRACK_NUMBER ******************************
	TRACK_NUMBER: process(track, error, downld)
	begin
	track_com <= BCD0;
		if not(error = '1' or downld = '1') then
			case track(4 downto 1) is
			when BIN0 =>
				track_com <= BCD0;			
			when BIN1 =>
				track_com <= BCD1;
			when BIN2 =>
				track_com <= BCD2;
			when BIN3 => 
				track_com <= BCD3;
			when BIN4 => 
				track_com <= BCD4;
			when BIN5 => 
				track_com <= BCD5;
			when BIN6 => 
				track_com <= BCD6;
			when BIN7 => 
				track_com <= BCD7;
			when BIN8 => 
				track_com <= BCD8;
			when BIN9 => 
				track_com <= BCD9;
			when others =>
			end case;
		end if;
	end process TRACK_NUMBER;

end behave;

?? 快捷鍵說明

復(fù)制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號(hào) Ctrl + =
減小字號(hào) Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
免费观看在线综合| 国产精品色呦呦| 欧美日韩一级二级三级| 欧美中文字幕一区二区三区| 欧美麻豆精品久久久久久| 久久综合精品国产一区二区三区 | 国内精品久久久久影院薰衣草| 国产传媒一区在线| 在线一区二区三区四区五区 | 91精品蜜臀在线一区尤物| 中文字幕国产一区| 偷拍日韩校园综合在线| 91丨porny丨最新| 99久久国产综合精品女不卡| 91精品午夜视频| 美女免费视频一区二区| 在线观看区一区二| 亚洲一区二区三区三| 国产一区二区三区免费观看| 7777精品伊人久久久大香线蕉 | 蜜桃视频在线观看一区二区| 精品日韩一区二区三区| 夜夜嗨av一区二区三区中文字幕| 国精品**一区二区三区在线蜜桃| 久久久久久97三级| 日韩电影免费在线看| 欧美羞羞免费网站| 亚洲男人天堂av网| 成人毛片在线观看| 久久久综合九色合综国产精品| 成人国产精品免费观看| 欧美激情在线看| 欧美日韩在线播放一区| 精品一区二区国语对白| 欧美va亚洲va| 91在线你懂得| 极品少妇一区二区三区精品视频 | 中文字幕一区二区三区在线不卡| 国产一区二区三区在线看麻豆| 国产精品免费网站在线观看| 在线成人免费视频| 成人av资源下载| 蜜桃一区二区三区在线| 亚洲欧美日韩成人高清在线一区| 精品久久久网站| 欧美中文字幕亚洲一区二区va在线 | 最新日韩在线视频| 日韩精品一区二区在线| 蜜臀久久久99精品久久久久久| 国产精品国产a| 91视频在线看| 国产精品一区二区久激情瑜伽| 久久久久国产一区二区三区四区| 欧美日本国产视频| 美女久久久精品| 亚洲一卡二卡三卡四卡五卡| 国产精品每日更新| 精品国产99国产精品| 成人a区在线观看| 国内精品国产三级国产a久久| 丝袜美腿亚洲综合| 久久久久国产精品麻豆ai换脸 | 精品免费视频.| 欧美三级视频在线| 色婷婷久久一区二区三区麻豆| 国产精品久久久久久久久果冻传媒 | 国产婷婷一区二区| 99亚偷拍自图区亚洲| 亚洲综合免费观看高清完整版在线 | 亚洲午夜在线电影| 欧美一级欧美三级| 国产激情偷乱视频一区二区三区| 美女视频一区在线观看| 日本强好片久久久久久aaa| 亚洲五码中文字幕| 亚洲黄网站在线观看| 欧美成人一区二区三区在线观看 | 欧美久久高跟鞋激| 欧美午夜电影网| 色婷婷av一区二区三区gif | 亚洲自拍欧美精品| 亚洲精品第1页| 一区二区视频免费在线观看| 亚洲精品乱码久久久久久黑人 | 在线观看日韩电影| 在线亚洲免费视频| 91视频一区二区三区| 在线观看免费视频综合| 91成人免费在线| 欧美日韩久久久久久| 91精品国产综合久久蜜臀| 日韩三级中文字幕| 色婷婷久久久综合中文字幕| 91免费看`日韩一区二区| 色婷婷av久久久久久久| 欧美高清www午色夜在线视频| 欧美一区二区三区不卡| 精品久久久久一区二区国产| 亚洲精品一区二区三区精华液| 久久久国产午夜精品| 国产精品久久久久aaaa| 亚洲午夜在线视频| 久久99最新地址| 日韩高清在线电影| 韩国女主播一区二区三区| 成人丝袜高跟foot| 欧美色大人视频| 精品成人一区二区三区四区| 国产精品视频yy9299一区| 亚洲黄色小说网站| 三级精品在线观看| 国产精品一二二区| 91免费精品国自产拍在线不卡| 9191久久久久久久久久久| 久久久久久久久久久久电影| 亚洲精品欧美激情| 国产一区二区三区黄视频 | 国产精品网站在线观看| 亚洲一卡二卡三卡四卡五卡| 久久成人免费网| 色综合久久久久久久久| 日韩视频一区二区三区在线播放| 国产精品色噜噜| 日韩中文欧美在线| av激情综合网| 日韩亚洲欧美一区二区三区| 国产精品进线69影院| 麻豆91免费看| 91久久精品一区二区三| 欧美成人欧美edvon| 亚洲欧美电影一区二区| 国产一区二区三区观看| 欧美日韩在线播放三区| 亚洲欧美中日韩| 韩国毛片一区二区三区| 欧美亚洲国产一卡| 国产精品天干天干在线综合| 日韩av电影免费观看高清完整版 | 久久婷婷国产综合国色天香| 亚洲一区二区三区中文字幕在线| 国产精品一区二区视频| 91麻豆精品国产无毒不卡在线观看 | 成人精品在线视频观看| 日韩欧美成人一区| 亚洲mv大片欧洲mv大片精品| 丝袜美腿一区二区三区| 色婷婷香蕉在线一区二区| 国产欧美日韩另类视频免费观看| 中文字幕中文字幕在线一区| 久久99精品久久久久久| 欧美日韩高清一区二区三区| 日韩久久一区二区| 爽爽淫人综合网网站| 91看片淫黄大片一级在线观看| 精品免费一区二区三区| 日韩国产一区二| 欧美日韩精品电影| 亚洲激情综合网| 色婷婷狠狠综合| 日韩久久一区二区| 91丝袜美女网| 日韩一区在线免费观看| 成人一级黄色片| 国产色婷婷亚洲99精品小说| 韩国av一区二区三区在线观看| 69成人精品免费视频| 日韩精品久久久久久| 欧美老年两性高潮| 亚洲大片一区二区三区| 欧美日本韩国一区二区三区视频 | 国产欧美视频一区二区三区| 国产在线视频不卡二| 久久综合久久99| 国产成人av资源| 日本一区免费视频| 成人爱爱电影网址| 亚洲三级在线看| 在线看国产一区二区| 亚洲.国产.中文慕字在线| 欧美日韩一二区| 亚洲成人av一区| 在线电影欧美成精品| 精品一区二区三区的国产在线播放| 日韩欧美在线网站| 国产乱色国产精品免费视频| 欧美韩日一区二区三区四区| www.亚洲国产| 一区二区三区鲁丝不卡| 欧美久久久久久蜜桃| 极品少妇xxxx精品少妇| 中文字幕乱码亚洲精品一区| 色综合夜色一区| 久久久久久久久久久黄色 | 95精品视频在线| 一区二区欧美国产| 91精品国产综合久久精品图片| 寂寞少妇一区二区三区| 自拍偷拍亚洲综合| 91精品国产综合久久国产大片| 激情综合色播五月|